2010 Proceedings 60th Electronic Components and Technology Conference (ECTC) 2010
DOI: 10.1109/ectc.2010.5490835
|View full text |Cite
|
Sign up to set email alerts
|

Development and characterisation of a 3D technology including TSV and Cu pillars for high frequency applications

Abstract: As 3D packaging technologies are becoming more and more present in packaging roadmap, applications with higher requirement are rising continuously.Today, one of the main applications requiring 3D technologies is dedicated to nomadic components, including mobile phones, due to their very high compacity and integration capabilities. Those components need to work at high frequency, typically up to 1 GHz. For these frequencies, the resistance and the capacitance of the interconnections have to be minimized, in ord… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
9
0

Year Published

2011
2011
2013
2013

Publication Types

Select...
6

Relationship

2
4

Authors

Journals

citations
Cited by 26 publications
(9 citation statements)
references
References 4 publications
(1 reference statement)
0
9
0
Order By: Relevance
“…The core technology of 3D integration is the TSV (Through Silicon Via) and for many years LETI has developed those technologies for various types of applications [4,5,6]. In this paper we will present how one of the TSV approaches developed by LETI, called TSV last, has been applied to a readout wafer containing readout chips intended for a hybrid pixel detector assembly [7,8].…”
Section: Paper Abstractmentioning
confidence: 99%
See 3 more Smart Citations
“…The core technology of 3D integration is the TSV (Through Silicon Via) and for many years LETI has developed those technologies for various types of applications [4,5,6]. In this paper we will present how one of the TSV approaches developed by LETI, called TSV last, has been applied to a readout wafer containing readout chips intended for a hybrid pixel detector assembly [7,8].…”
Section: Paper Abstractmentioning
confidence: 99%
“…A Deep Reactive Ion Etch (DRIE) Bosch process is used to form the 120 µm deep TSV cavities (Fig 9). A PECVD insulation oxide layer (SiH 4 precursor) is then deposited to ensure a full insulation of the TSV sidewalls [6]. The conformity of the sidewall is such that the layer thickness near the bottom of the sidewall is about 20% of that on top.…”
Section: Through Silicon Via (Tsv) Processmentioning
confidence: 99%
See 2 more Smart Citations
“…In this case, TSV process is performed on the wafer back-side, after the back-end process on the front-side (figure 1) [4]. The wafer is bonded on a temporary glass carrier and thinned down to 120µm.…”
Section: Via-last Process Flowmentioning
confidence: 99%