2021
DOI: 10.46300/9106.2021.15.65
|View full text |Cite
|
Sign up to set email alerts
|

Design and Implementation of 64-bit SRAM and CAM on Cadence and Open-source environment

Abstract: Low-power IC design has become a priority in recent years because of the growing proliferation of portable battery-operated devices, bringing Static Random-Access Memory (SRAM) and Content Addressable Memory (CAM) into play. In today's SoCs, embedded SRAM units have become a necessary component. There is a lack of chips in the current world and to manufacture chips there is the requirement of Electronic Design Automation(EDA) tools that can perform better. In this paper, the main motive is to showcase the perf… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
2
1
1

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(1 citation statement)
references
References 24 publications
0
1
0
Order By: Relevance
“…This gives the simulation [27] waveforms and results of different CAM cells and memory array. For numerous CAM cells and memory, parameters such as dynamic power, static noise margin, delay, and power delay product are measured.…”
Section: Resultsmentioning
confidence: 99%
“…This gives the simulation [27] waveforms and results of different CAM cells and memory array. For numerous CAM cells and memory, parameters such as dynamic power, static noise margin, delay, and power delay product are measured.…”
Section: Resultsmentioning
confidence: 99%