Ion Implantation Technology. 2002. Proceedings of the 14th International Conference On 2002
DOI: 10.1109/iit.2002.1258081
|View full text |Cite
|
Sign up to set email alerts
|

Dependence of junction depth and sheet resistance on the thermal budget in the low temperature pre-stabilization regime

Abstract: According to the 2001 International TechnologyRoadmap for Semiconductors (ITRS) one of the key challenges for source/drain extension technology at the 100 nm technology node and beyond is to produce a junction in the range of a few tens of nanometers with low sheet resistance values. To achieve the requirements of the ITRS, a deep understanding of the diffusion, activation and the dopant-defect interaction is necessary. In this paper the temperature-time profile of spike anneals is varied. The temperature of t… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
2
0

Year Published

2008
2008
2008
2008

Publication Types

Select...
2

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
(6 citation statements)
references
References 5 publications
(5 reference statements)
0
2
0
Order By: Relevance
“…To ensure good process uniformity, repeatability and temperature control, a pre-stabilization step at an intermediate temperature is usually used [18]. Bayha et al [19] investigated the influence of different pre-stabilization temperatures followed by a spike anneal to 1050 °C peak temperature on sheet resistance and junction depth on 500 eV 11 B + and 1.1 and 2.2 keV 49 BF 2 + implanted junctions with doses of 1 and 5 . 10 15 cm -2 .…”
Section: Spike Annealmentioning
confidence: 99%
See 2 more Smart Citations
“…To ensure good process uniformity, repeatability and temperature control, a pre-stabilization step at an intermediate temperature is usually used [18]. Bayha et al [19] investigated the influence of different pre-stabilization temperatures followed by a spike anneal to 1050 °C peak temperature on sheet resistance and junction depth on 500 eV 11 B + and 1.1 and 2.2 keV 49 BF 2 + implanted junctions with doses of 1 and 5 . 10 15 cm -2 .…”
Section: Spike Annealmentioning
confidence: 99%
“…At a boron concentration of 3 . 10 18 cm -3 the junction depth of the reference sample is 34.2 nm whereas the 10 keV and 22 19 F + co-implanted wafers show junction depths of 28.7 nm and 28.1 nm respectively.…”
mentioning
confidence: 94%
See 1 more Smart Citation
“…11,12 However, extensive defects remain after flash annealing, leading to high current leakage at device level. [12][13][14][15] Another main concern of the residual EOR defects is its impact on the junction stability, which is closely related to the dopant deactivation when the activated junction is subjected to postthermal treatment, such as spacer formation and silicidation, in the typical CMOS process flow. 15,16 Recently, first results from Poon et al have demonstrated that flash conditions can be optimized to improve junction characteristics.…”
mentioning
confidence: 99%
“…[12][13][14][15] Another main concern of the residual EOR defects is its impact on the junction stability, which is closely related to the dopant deactivation when the activated junction is subjected to postthermal treatment, such as spacer formation and silicidation, in the typical CMOS process flow. 15,16 Recently, first results from Poon et al have demonstrated that flash conditions can be optimized to improve junction characteristics. 17,18 In this paper we perform an extensive study on the junction stability after processing with the optimized multiple-pulse and prespike RTA flash annealing.…”
mentioning
confidence: 99%