2020 57th ACM/IEEE Design Automation Conference (DAC) 2020
DOI: 10.1109/dac18072.2020.9218519
|View full text |Cite
|
Sign up to set email alerts
|

DECOY: DEflection-Driven HLS-Based Computation Partitioning for Obfuscating Intellectual PropertY

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
16
0

Year Published

2021
2021
2022
2022

Publication Types

Select...
6
2

Relationship

0
8

Authors

Journals

citations
Cited by 25 publications
(18 citation statements)
references
References 16 publications
0
16
0
Order By: Relevance
“…These two design choices are relatively simple to implement in physical synthesis but carry overheads that we deemed not advantageous, even if they make perfect sense for an FPGA device. A recent trend in obfuscation research is the use of embedded FPGA (eFPGA) [21], [22]. A very similar approach is also found in [23], where authors perform obfuscation with transistor-level granularity.…”
Section: Comparison and Discussionmentioning
confidence: 90%
“…These two design choices are relatively simple to implement in physical synthesis but carry overheads that we deemed not advantageous, even if they make perfect sense for an FPGA device. A recent trend in obfuscation research is the use of embedded FPGA (eFPGA) [21], [22]. A very similar approach is also found in [23], where authors perform obfuscation with transistor-level granularity.…”
Section: Comparison and Discussionmentioning
confidence: 90%
“…This ensures that a designer should have an idea of how much resources in terms of logic and I/Os are available in a fabric. This will lead to a better resource utilization in the fabric when one redacts a module, especially if one adopts a High Level Synthesis (HLS)-based "top-down" approach [6], [7]. There can be two cases which limits the choice of a fabric: (1) Logic: This constitute the number of CLBs required to map a design; (2) I/Os: The number of inputs and outputs of a modules.…”
Section: Discussionmentioning
confidence: 99%
“…When deciding which module(s) to redact, the designer could know the "sensitive" parts of the design, manually driving the selection [5], or use methods based on highlevel synthesis (HLS) to identify the logic that differentiates variants of the same design [6]. In all cases, the designer assumes a standard or even off-the-shelf implementation of the eFPGA, incurring in significant overheads.…”
Section: B Efpga-based Redactionmentioning
confidence: 99%
“…For our experiments, we use the number of relation terms in the key relation as a proxy for its complexity. We define our budget in terms of it and use a budget threshold for the key relation in the range [12][13][14] latent terms and depth of expression selection in range [2][3][4]. We use a timeout of 20 minutes for locking and 4 days for attacks.…”
Section: Experimental Evaluationmentioning
confidence: 99%
“…Such transitions can only happen with a specific input sequence. Differently from [14], we extract the relation directly from the analysis of a single RTL design, making the approach independent of the design flow. None of these methods consider the possibility of hiding a relation among the key bits.…”
Section: Related Workmentioning
confidence: 99%