37th European Mask and Lithography Conference 2022
DOI: 10.1117/12.2640001
|View full text |Cite
|
Sign up to set email alerts
|

Curvilinear mask process correction: status quo and outlook

Abstract: Due to the increasing availability of Multi Beam Mask Writers (MBMW) and the associated wafer lithography benefits, efficient handling of curvilinear mask shapes in the post tape-out flow becomes increasingly important. The presence of complex curvilinear mask shapes generated by Inverse Lithography Technology (ILT), or similar techniques requires new features and algorithms, especially in the context of applying Mask Process Correction (MPC). This paper discusses the status of Curvilinear Mask Process Correct… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2023
2023
2023
2023

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
(1 citation statement)
references
References 16 publications
0
1
0
Order By: Relevance
“…With MIA, that effect is completely avoided since only smooth input functions instead of piecewise linear data are approximated. Note that the upcoming extension of the OASIS standard will also include smooth Bezier and Spline curve data representation in a new MULTIGON record 8 , 9 . MIA will thus be applicable directly also to such curvilinear data.…”
Section: Approximation Approachesmentioning
confidence: 99%
“…With MIA, that effect is completely avoided since only smooth input functions instead of piecewise linear data are approximated. Note that the upcoming extension of the OASIS standard will also include smooth Bezier and Spline curve data representation in a new MULTIGON record 8 , 9 . MIA will thus be applicable directly also to such curvilinear data.…”
Section: Approximation Approachesmentioning
confidence: 99%