Proceedings of the 12th ACM International Conference on Systems and Storage 2019
DOI: 10.1145/3319647.3325832
|View full text |Cite
|
Sign up to set email alerts
|

Cross-ISA execution of SIMD regions for improved performance

Abstract: We investigate the effectiveness of executing SIMD workloads on multiprocessors with heterogeneous Instruction Set Architecture (ISA) cores. Heterogeneous ISAs offer an intriguing clock speed/parallelism tradeoff for workloads with frequent usage of SIMD instructions. We consider dynamic migration of SIMD and non-SIMD workloads across ISA-different cores to exploit this trade-off. We present the necessary modifications for a general compiler/run-time infrastructure to transform the dynamic program state of SIM… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
2

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
references
References 65 publications
(67 reference statements)
0
0
0
Order By: Relevance