2009
DOI: 10.1109/l-ca.2009.3
|View full text |Cite
|
Sign up to set email alerts
|

CPU Accounting in CMP Processors

Abstract: Abstract-Chip-MultiProcessors (CMP) introduce complexities when accounting CPU utilization to processes because the progress done by a process during an interval of time highly depends on the activity of the other processes it is co-scheduled with. We propose a new hardware accounting mechanism to improve the accuracy when measuring the CPU utilization in CMPs and compare it with the previous accounting mechanisms. Our results show that currently known mechanisms could lead to a 12% average error when it comes… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
7
0

Year Published

2009
2009
2018
2018

Publication Types

Select...
3
2
1

Relationship

0
6

Authors

Journals

citations
Cited by 12 publications
(7 citation statements)
references
References 10 publications
0
7
0
Order By: Relevance
“…Eyerman and Eeckhout [16] propose probabilistic job symbiosis model that enhances the SOS scheduler. Based on the cycle accounting architecture [15], [26], [27], the model estimates the single-threaded progress for each job in a multithreaded workload. Other approaches [12], [17], [21], [33] propose techniques to construct workloads of threads that exhibit good symbiosis in shared caches solving problems of cache contention.…”
Section: Related Workmentioning
confidence: 99%
“…Eyerman and Eeckhout [16] propose probabilistic job symbiosis model that enhances the SOS scheduler. Based on the cycle accounting architecture [15], [26], [27], the model estimates the single-threaded progress for each job in a multithreaded workload. Other approaches [12], [17], [21], [33] propose techniques to construct workloads of threads that exhibit good symbiosis in shared caches solving problems of cache contention.…”
Section: Related Workmentioning
confidence: 99%
“…Eyerman and Eeck hout [8] and Cazorla et al [3] propose mechanisms to determine an application's slowdown while it is running alongside other applications on an SMT processor. Luque et al [23] estimate application slowdowns in the presence of shared cache interfer ence. These studies do not take into account inter-application interference at the main memory.…”
Section: Related Workmentioning
confidence: 99%
“…The concept of Energy Accounting is inherited from CPU accounting that was first introduced in [11] and then develop for multicores in [10], [12] and for SMTs in [7]. CPU accounting measures the CPU utilization of a given task during a period of time when it runs in a multicore processor.…”
Section: Per-task Energy Accountingmentioning
confidence: 99%
“…We aim at maintaining the same Principle of Accounting that rules when measuring CPU accounting [11]: the energy accounted to a task should be independent from the workload in which this task runs.…”
Section: Per-task Energy Accountingmentioning
confidence: 99%