1974
DOI: 10.1109/mc.1974.6323496
|View full text |Cite
|
Sign up to set email alerts
|

Concurrent simulation of nearly identical digital networks

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
12
0

Year Published

1981
1981
2014
2014

Publication Types

Select...
5
4

Relationship

0
9

Authors

Journals

citations
Cited by 171 publications
(12 citation statements)
references
References 0 publications
0
12
0
Order By: Relevance
“…Thus, reconvergences of X values, where canceling of Xs may occur, are not evaluated correctly and the resulting signal values are not exact. In consequence, fault simulation based on n-valued logics like the parallel pattern single fault (PPSFP) and concurrent algorithm [1]- [4], are pessimistic and underestimate fault coverage 1 . If X values propagate into compaction logic as found in embedded deterministic test (EDT) or built-in self test (BIST) environments, the response signature may be corrupted.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…Thus, reconvergences of X values, where canceling of Xs may occur, are not evaluated correctly and the resulting signal values are not exact. In consequence, fault simulation based on n-valued logics like the parallel pattern single fault (PPSFP) and concurrent algorithm [1]- [4], are pessimistic and underestimate fault coverage 1 . If X values propagate into compaction logic as found in embedded deterministic test (EDT) or built-in self test (BIST) environments, the response signature may be corrupted.…”
Section: Introductionmentioning
confidence: 99%
“…This work presents the first fault simulation algorithm which computes the exact fault coverage of a test set in presence of X 1 In the following they are referred to as 3-valued fault simulators.…”
Section: Introductionmentioning
confidence: 99%
“…Fault simulation algorithms such as the PPSFP (parallel pattern single fault propagation) and the concurrent algorithm [1][2][3][4] can be used to pessimistically estimate fault coverage for stuck-at and transition faults in presence of X values. Principally, these algorithms use an n-valued logic with limited number of symbols to compute the signal states in the faultfree and faulty circuit.…”
Section: Introductionmentioning
confidence: 99%
“…Simulation [5,15] of stuck faults is a resource intensive task and several acceleration techniques have been proposed [4,7,9,8,13]. Verification of industrial designs often involves simulation of large number of functional patterns that can be several million cycles long.…”
Section: Introductionmentioning
confidence: 99%