2007
DOI: 10.1145/1278349.1278364
|View full text |Cite
|
Sign up to set email alerts
|

Compilation for compact power-gating controls

Abstract: Power leakage constitutes an increasing fraction of the total power consumption in modern semiconductor technologies due to the continuing size reductions and increasing speeds of transistors. Recent studies have attempted to reduce leakage power using integrated architecture and compiler power-gating mechanisms. This approach involves compilers inserting instructions into programs to shut down and wake up components, as appropriate. While early studies showed this approach to be effective, there are concerns … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
11
0

Year Published

2013
2013
2020
2020

Publication Types

Select...
4
2
1

Relationship

2
5

Authors

Journals

citations
Cited by 17 publications
(11 citation statements)
references
References 29 publications
(46 reference statements)
0
11
0
Order By: Relevance
“…Hardware exceeding the estimated parallelism is shut down via power gating. You et al [2006You et al [ , 2007 proposed an instruction scheduling algorithm to reduce power-gating overhead. Their proposed algorithm, called "sink-and-hoist," traverses the control flow graph to estimate the lifetime of functional units.…”
Section: Compiler-directed Power-gating Controlmentioning
confidence: 99%
See 1 more Smart Citation
“…Hardware exceeding the estimated parallelism is shut down via power gating. You et al [2006You et al [ , 2007 proposed an instruction scheduling algorithm to reduce power-gating overhead. Their proposed algorithm, called "sink-and-hoist," traverses the control flow graph to estimate the lifetime of functional units.…”
Section: Compiler-directed Power-gating Controlmentioning
confidence: 99%
“…To cope with the increasing leakage power, Multiple Threshold-Voltage CMOS (MTCMOS) power-gating technologies are proposed [Shin et al 2010]. Various researchers have proposed compiler-assisted power-gating control to reduce the power dissipation of instruction-level parallel processors Wang et al 2010;You et al 2006You et al , 2007. Although power reduction on functional units is widely discussed, we focus on reducing the power dissipated in register files by means of power gating.…”
Section: Introductionmentioning
confidence: 99%
“…For leakage power optimization, the integration of both architectural and compiler power-gating schemes are presented in [31][32][33]. Also the Sink-N-Hoist framework for generating balanced compiler power-gating instructions is introduced in [5]. These works above are targeting at instruction level for the design of compiler techniques for low power.…”
Section: Related Workmentioning
confidence: 99%
“…As the application software is associated with early decisions of design, power optimization in the software layer will also give the opportunity for design option explorations. Early work in power optimizations from compiler viewpoints include reducing power consumption via software arrangements at instruction-level to reduce power consumption [2][3][4], compiler supports for instruction utilization analysis for leakage power reduction [2], software re-arrangements to utilize the value locality of registers [3], the scheduling of VLIW instructions to reduce the power consumption on the instruction bus [4], power optimizations with Sink-NHoist schemes [5], and energy aware scheduling for parallel security processors [6].…”
Section: Introductionmentioning
confidence: 99%
“…These approaches are performance-oriented optimizations, with any reduction in energy consumption merely representing a by-product. Recent studies have attempted to reduce the leakage power consumption using integrated architecture and compiler power-gating mechanisms [You et al 2002[You et al , 2005[You et al , 2006[You et al , 2007Rele et al 2002;Dropsho et al 2002;Yang et al 2002;Zhang et al 2003]. These approaches involve compilers inserting instructions into programs to shut down and wake up components whenever appropriate, based on a dataflow or profiling analysis.…”
Section: Related Workmentioning
confidence: 99%