2016
DOI: 10.1364/oe.24.012055
|View full text |Cite
|
Sign up to set email alerts
|

Coherent scattering microscopy as an effective inspection tool for analyzing performance of phase shift mask

Abstract: The imaging performance of a half-tone phase shift mask (PSM) has been analyzed using coherent scattering microscopy (CSM), which allows analysis of the actinic characteristics of an extreme ultraviolet (EUV) mask such as its reflectivity, diffraction efficiency, and phase information. This paper presents the 1st experimental result showing the effect of 180° phase difference between the absorber and reflector in EUV mask. This reveals that a PSM offers a 46% improvement in 1st/0th diffraction efficiency and 1… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2018
2018
2023
2023

Publication Types

Select...
5

Relationship

3
2

Authors

Journals

citations
Cited by 5 publications
(3 citation statements)
references
References 28 publications
0
3
0
Order By: Relevance
“…The EUV transmittance was derived by comparing the number of photons reflected by the Mo/Si multilayers with and without the pellicle. The EUV reflectivity was calculated by comparing the number of photons reflected by the EUV pellicle when it was mounted on an absorber material where the EUV reflectivity converges to zero, with the number of photons reflected by the Mo/Si multilayers [ 22 , 23 , 24 ].…”
Section: Methodsmentioning
confidence: 99%
“…The EUV transmittance was derived by comparing the number of photons reflected by the Mo/Si multilayers with and without the pellicle. The EUV reflectivity was calculated by comparing the number of photons reflected by the EUV pellicle when it was mounted on an absorber material where the EUV reflectivity converges to zero, with the number of photons reflected by the Mo/Si multilayers [ 22 , 23 , 24 ].…”
Section: Methodsmentioning
confidence: 99%
“…The EUV transmittance (EUVT) of the pellicle composite as a function of the pulsed heating time was measured using coherent scattering microscopy (CSM) with an EUV source. The EUVT was calculated by comparing the number of photons reflected from an EUV mirror composed of 40 pairs of Mo/Si multilayers with and without pellicles [ 15 , 16 ]. The EUV transmittance was determined from the average value by repeating the measurements 10 times at each of the three points in the membrane area to obtain reliable results.…”
Section: Methodsmentioning
confidence: 99%
“…We have already reported quantitative analysis of the phase shift effect of EUV phase shift mask using single-shot CDI engines, such as error reduction algorithm (ERA) and hybrid input-output (HIO) algorithm. [13][14][15][16][17] However, in the case of single-shot CDI, the field-of-view is limited by the size of the inspection beam at the mask plane (3 μm diameter). Also, the information of light source and object cannot be deconvolved, and this makes an accurate analysis of the object difficult.…”
Section: Introductionmentioning
confidence: 99%