2013
DOI: 10.1021/ic402317g
|View full text |Cite
|
Sign up to set email alerts
|

Cobalt(III) Diazabutadiene Precursors for Metal Deposition: Nanoparticle and Thin Film Growth

Abstract: We report the synthesis and characterization of a family of cobalt(III) metal precursors, based around cyclopentadienyl and diazabutadiene ligands. The molecular structure of the complexes cyclopentadienyl-Cobalt(III)(N,N'-dicyclohexyl-diazabutadiene) (2c) and cyclopentadienyl-Cobalt(III)(N,N'-dimesityl-diazabutadiene) (2d) are described, as determined by single crystal X-ray diffraction analysis. Thermogravimetric analysis of the complexes highlighted the isopropyl derivative CpCo((i)Pr2-dab) (2a) as a possib… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
23
0

Year Published

2015
2015
2023
2023

Publication Types

Select...
7

Relationship

0
7

Authors

Journals

citations
Cited by 21 publications
(26 citation statements)
references
References 103 publications
0
23
0
Order By: Relevance
“…Hot-Wall Cross-Flow ALD Reactor Liners and caps in IC Cu interconnects, as seed film for CoSi 2 as IC contact material, and in magnetic memories A two-step ALD process that deposits CoO which is then subjected to a reduction process in forming gas to yield Co 42 Väyrynen et al (2018) Shower head type ALD system Amorphous metal alloys (metallic glasses) due to their uncommon properties, such as high hardness, soft magnetism and electrical behavior Co and TiN were grown via cyclic combination of ALD to form multilayered Co/TiN stacked structures 43 Nam (2018) Thermal ALD Thermal Pulsed-MOCVD Spintronic devices for nonvolatile magnetic random access memories (MRAM) and diluted magnetic semiconductors (DMS) ALD and pulsed MOCVD were performed using a variety of co-reactants, with no self-limiting growth behavior observed. It was determined that the precursors studied could not be used as pure ALD precursors, and were more appropriate for low-temperature MOCVD Co films 44 Lubitz 17 increased substrate temperature leads to longer surface diffusion distances, thus extending surface reaction times and potentially producing enhanced step coverage and reduced contaminant incorporation. However, Co film growth in thermal MOCVD proceeds as isolated islands or disconnected layers until a specific thickness is achieved which enables the islands or layers to connect and establish a continuous film.…”
Section: You Et Al (2018)mentioning
confidence: 99%
See 1 more Smart Citation
“…Hot-Wall Cross-Flow ALD Reactor Liners and caps in IC Cu interconnects, as seed film for CoSi 2 as IC contact material, and in magnetic memories A two-step ALD process that deposits CoO which is then subjected to a reduction process in forming gas to yield Co 42 Väyrynen et al (2018) Shower head type ALD system Amorphous metal alloys (metallic glasses) due to their uncommon properties, such as high hardness, soft magnetism and electrical behavior Co and TiN were grown via cyclic combination of ALD to form multilayered Co/TiN stacked structures 43 Nam (2018) Thermal ALD Thermal Pulsed-MOCVD Spintronic devices for nonvolatile magnetic random access memories (MRAM) and diluted magnetic semiconductors (DMS) ALD and pulsed MOCVD were performed using a variety of co-reactants, with no self-limiting growth behavior observed. It was determined that the precursors studied could not be used as pure ALD precursors, and were more appropriate for low-temperature MOCVD Co films 44 Lubitz 17 increased substrate temperature leads to longer surface diffusion distances, thus extending surface reaction times and potentially producing enhanced step coverage and reduced contaminant incorporation. However, Co film growth in thermal MOCVD proceeds as isolated islands or disconnected layers until a specific thickness is achieved which enables the islands or layers to connect and establish a continuous film.…”
Section: You Et Al (2018)mentioning
confidence: 99%
“…10 r Isopropyl derivative CpCo( i Pr 2 -dab) (Co-302) with H 2 as coreactant at a substrate temperature above 325 • C (Co oxidation state: 3; key parameter: substrate temperature). 17 r Dicobalt hexacarbonyl bis(trimethylsilyl)acetylene (Co-005) with no co-reactant at a substrate temperature above 250 • C (Co oxidation state: 0; key parameters: type of precursor and substrate temperature). 29 r Dicobalt octacarbonyl [Co 2 (CO) 8 ] (Co-001) with no co-reactant at a substrate temperature above 125 • C (Co oxidation state: 0; key parameter: substrate temperature).…”
Section: Metal-organic Chemical Vapor Deposition (Mocvd)mentioning
confidence: 99%
“…The C− −C bond length can therefore be used to monitor the charge state of the ligand, as previously noted. 58 It is important to consider electronic spin for a complete description of bonding in DAD complexes. As an example, consider the M ii (DAD ) 2 case.…”
Section: Towards Zero-valent Metal Precursorsmentioning
confidence: 99%
“…These could be the ability to be easily thermally decomposed or reduced in the presence of a reducing gas, without formation of pollutant by-products. Some of these complexes are valuable atomic sources for the formation of thin films by chemical vapor deposition (CVD) or atomic layer deposition (ALD) [24].…”
Section: Influence Of Ligand Evolution During the Synthesis Reactionmentioning
confidence: 99%