2008 IEEE Custom Integrated Circuits Conference 2008
DOI: 10.1109/cicc.2008.4672170
|View full text |Cite
|
Sign up to set email alerts
|

Clock distribution networks for 3-D ictegrated Circuits

Abstract: Three-dimensional (3-D) integration is an important technology that addresses fundamental limitations of on-chip interconnects. Several design issues related to 3-D circuits, such as multi-plane synchronization, however, need to be addressed. A comparison of three 3-D clock distribution network topologies is presented in this paper. Experimental results of a 3-D test circuit manufactured by the MIT Lincoln Laboratories are also described. Successful operation of the 3-D test circuit at 1.4 GHz is demonstrated.… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
28
0

Year Published

2010
2010
2022
2022

Publication Types

Select...
4
2
2

Relationship

1
7

Authors

Journals

citations
Cited by 44 publications
(28 citation statements)
references
References 11 publications
0
28
0
Order By: Relevance
“…Temperature dependent clock skew control and power analysis for H-tree based clock network topologies are presented in the works [4][5][6]. Mondal et al [4] proposed a thermally adaptive clocking scheme to reduce the temperature dependent clock skew.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…Temperature dependent clock skew control and power analysis for H-tree based clock network topologies are presented in the works [4][5][6]. Mondal et al [4] proposed a thermally adaptive clocking scheme to reduce the temperature dependent clock skew.…”
Section: Introductionmentioning
confidence: 99%
“…Arunachalam and Burleson [5] proposed a low power clock design by using a separate layer for the clock network. Pavlidis, Savidis, and Friedman [6] compared clock skew and power consumption for various H-tree based clock network topologies with real measurement data.…”
Section: Introductionmentioning
confidence: 99%
“…The clock distribution in 3-D-ICs is a complex and challenging task, as presented by Pavlidis et al in [34]. The synchronization of sequential elements located on multiple planes by the same clock signal underlines the importance of controlling the clock skew.…”
Section: Clocking Scheme and Data Transmissionmentioning
confidence: 99%
“…In this case, the correlation of WID variations is modeled by (7). As reported in the column "Multi-correlation" in Table II, the behavior of the investigated clocking schemes differs from the other correlation models.…”
Section: B Multi-level Correlations Of Wid Variationsmentioning
confidence: 99%
“…The focus of this paper is on the effect of process variations on the clock skew of potential 3-D synchronization architectures with multiple clock domains. The case studies include regular clock networks that globally distribute the clock signal in a 3-D stack [6], [7]. The proposed model can also be used to analyze synthesized 3-D clock trees [8], [9].…”
Section: Introductionmentioning
confidence: 99%