2006
DOI: 10.1116/1.2232417
|View full text |Cite
|
Sign up to set email alerts
|

Chemical analysis of deposits formed on the reactor walls during silicon and metal gate etching processes

Abstract: One major challenge in plasma etching processes for integrated circuit’s fabrication is to achieve wafer-to-wafer repeatability. This requires an excellent control of the plasma chamber wall conditions. For gate etching processes this is achieved by cleaning the interior surfaces of the plasma chamber with appropriate plasma chemistries after each wafer is etched. This strategy relies on the knowledge of the chemical composition of the layer coated on the reactor walls after the etching process. However, this … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
11
0

Year Published

2007
2007
2018
2018

Publication Types

Select...
7
1

Relationship

3
5

Authors

Journals

citations
Cited by 13 publications
(11 citation statements)
references
References 20 publications
(26 reference statements)
0
11
0
Order By: Relevance
“…11 This oxidelike layer is usually cleaned from the chamber walls in a fluorine based plasma ͑SF 6 /O 2 ,CF 4 /O 2¯͒ . During a silicon gate etching process ͑HBr/ Cl 2 /O 2 ͒, a thick SiO-ClBr layer is formed on the chamber walls.…”
Section: A Chemical Analysis Of Chamber Wall Coatings After Tin Hardmentioning
confidence: 99%
See 1 more Smart Citation
“…11 This oxidelike layer is usually cleaned from the chamber walls in a fluorine based plasma ͑SF 6 /O 2 ,CF 4 /O 2¯͒ . During a silicon gate etching process ͑HBr/ Cl 2 /O 2 ͒, a thick SiO-ClBr layer is formed on the chamber walls.…”
Section: A Chemical Analysis Of Chamber Wall Coatings After Tin Hardmentioning
confidence: 99%
“…[9][10][11] For back end of line applications, the coatings formed on the chamber walls during a dielectric etching process in fluorocarbon based plasmas have not been intensively studied. In particular, their chemical nature has been mainly investigated during silicon and metal gate patterning.…”
Section: Introductionmentioning
confidence: 99%
“…Finally, Joubert et al 24 explored the potential of quasi in situ x-ray photoelectron spectroscopy ͑XPS͒ analyses to quantitatively monitor the chemical nature of the deposits formed on the reactor walls by using the so-called air gap technique. Le Gouil et al 29 have compared the deposits on the reactor walls ͑via the air gap technique͒ after silicon gate etching and after TiN metal gate etching processes and shown that Ti is present in the deposit in the latter case. After the process, this layer can be cleared from the Al 2 O 3 walls in SF 6 -based plasmas, 23,26 thus resetting the reactor to its original state ͑i.e., a clean Al 2 O 3 reactor͒.…”
Section: Introductionmentioning
confidence: 99%
“…6 The most wide spread strategy used in the industry to reach this goal is to dry-clean the reactor after each wafer etched. As a result, the number of process steps required to pattern a gate stack is increasing, and the same reactor is exposed to the etching of a wide variety of materials using different plasma chemistries.…”
mentioning
confidence: 99%
“…The coating can be formed, for ex-ample, during the fluorocarbon etching of an oxide hard mask. 12 Finally, the reactor walls can also be coated with a SiOCl x layer before each process to enhance wafer-to-wafer reproducibility ͑this coating is removed from the walls in a SF 6 plasma after the etching process͒. Furthermore, uncontrolled F atoms released from the fluorine-rich CF x coating can affect the etching characteristics ͑etch rate, selectivity, and anisotropy͒, a phenomenon that was already responsible for subtle process drifts in AlF x reactors.…”
mentioning
confidence: 99%