The platform will undergo maintenance on Sep 14 at about 7:45 AM EST and will be unavailable for approximately 2 hours.
2011
DOI: 10.1109/tcad.2010.2065990
|View full text |Cite
|
Sign up to set email alerts
|

Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip

Abstract: In addition, the adopted fault tolerance scheme demonstrates a significant yield improvement, ranging from 66% to 98%, with a low area cost (20.9% on a vertical link in a NoC switch, which leads a modest 2.1% increase in the total switch area) in 130 nm technology, with minimal impact on very large-scale integrated design and test flows.

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
25
0

Year Published

2012
2012
2021
2021

Publication Types

Select...
5
3
1

Relationship

1
8

Authors

Journals

citations
Cited by 54 publications
(25 citation statements)
references
References 28 publications
0
25
0
Order By: Relevance
“…Such works dealt with this challenge by suggesting redundant TSV links [19], reliable routings [48]- [51], error detection/correction HW modules [52], or TSV-variationaware synthesis [53]. One approach for reliable routings, which is suitable for both 2-D and 3-D NoC interconnection network, is leveraging reconfigurable routing table to keep fault-tolerant routing paths [48], [49].…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…Such works dealt with this challenge by suggesting redundant TSV links [19], reliable routings [48]- [51], error detection/correction HW modules [52], or TSV-variationaware synthesis [53]. One approach for reliable routings, which is suitable for both 2-D and 3-D NoC interconnection network, is leveraging reconfigurable routing table to keep fault-tolerant routing paths [48], [49].…”
Section: Related Workmentioning
confidence: 99%
“…1 Note that the proposed architecture is only for a limited number of processing cores (equal or <32) because as the number of both cores and memory banks increases, the latency of the combinational interconnect increases (>10 ns, which is out of our scope). Scaling to larger number of processing cores (e.g., >32) requires building a multicluster fabric connected through a scalable NoC [19]. Scaling beyond that will require hierarchical multicluster schemes, and for extremely scaled architectures (1000 cores), hierarchical clustered multihop networks will have to be used.…”
mentioning
confidence: 99%
“…6 illustrates the types of defects that the proposed scheme targets against. They include open defects and resistive open defects [20]- [22], [22]- [25], which bring about the chip delay or even complete damage. According to their locations, the defects can be categorized into two classes.…”
Section: Tolerable Faultsmentioning
confidence: 99%
“…The main issues regarding the use of TSVs are the large area overhead of TSV pitches, the yield reduction caused by the large number of TSVs, and TSV cost. Moreover, the manufacturing process of TSVs has become a main challenge due to the variability of the manufacturing process [2] [3]. The cost of high yield TSV manufacturing process is only justifiable in presence of a practical solution to counteract TSV related effects (such as voids in TSVs, TSV pinch-off, oxide defects such as pinholes, thermo-mechanical stress, cracks in microbumps, chip warpage, and impurities [4]) which may render the entire chip useless [5].…”
Section: Introductionmentioning
confidence: 99%