Optical Microlithography XVIII 2005
DOI: 10.1117/12.599913
|View full text |Cite
|
Sign up to set email alerts
|

Challenges with hyper-NA (NA>1.0) polarized light lithography for sub lambda/4 resolution

Abstract: The use of immersion technology will extend the lifetime of 193nm and 157nm lithography by enabling numerical apertures (NA) much greater than 1.0. This paper explores the effects that will occur when the high NA systems are augmented with polarization.. Specifically we show that there are strong interactions between the polarization induced by the reticle and polarization in the optics. This has a direct impact on the across-field specification of the polarization of the optical system as it causes a large va… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
18
0

Year Published

2005
2005
2021
2021

Publication Types

Select...
5
2
1

Relationship

1
7

Authors

Journals

citations
Cited by 26 publications
(18 citation statements)
references
References 8 publications
0
18
0
Order By: Relevance
“…A combination of linear polarized light in the right direction with a background of unpolarized light, or linear polarization in a slightly different direction, or elliptically polarized light, or a combination of all these effects can give the same IPS value. It has been shown that the specific way a certain IPS value is obtained has no impact on imaging (6) . The simulation results in Figure 3 show that the details of IPS "formation" have no impact on the resulting CD change.…”
Section: Characterization Of Polarized Lightmentioning
confidence: 99%
See 2 more Smart Citations
“…A combination of linear polarized light in the right direction with a background of unpolarized light, or linear polarization in a slightly different direction, or elliptically polarized light, or a combination of all these effects can give the same IPS value. It has been shown that the specific way a certain IPS value is obtained has no impact on imaging (6) . The simulation results in Figure 3 show that the details of IPS "formation" have no impact on the resulting CD change.…”
Section: Characterization Of Polarized Lightmentioning
confidence: 99%
“…A detailed analysis of how mask birefringence can alter the effective IPS can be found in Ref. (6). This section will reassess the impact of mask birefringence using the concept of Stokes parameters and Mueller matrices rather than the Jones representation.…”
Section: The Impact Of Mask Birefringencementioning
confidence: 99%
See 1 more Smart Citation
“…Nevertheless, some characteristic phenomena such as mask-induced polarization effects [3][4][5], mask-induced wave aberrations and best-focus (BF) shifts [6][7][8], and an impact on the obtained OPC models [9,10] can be observed. These so-called 'three-dimensional (3D) mask effects' or 'mask topography effects' depend on the 3D geometrical shape of the mask and on the optical properties, specifically the extinction value k and the refractive index n, of the mask materials.…”
Section: Introductionmentioning
confidence: 99%
“…To model these hyper-NA systems, current state-of-the-art OPC modeling engines are already capable of modeling thin-film energy coupling, vector diffraction, polarization illumination, and immersion, imaging. [1][2][3] However, current OPC simulators * do not consider the loss of spatial frequency content due to pupil apodization or pellicle film effects. Both of these effects cause a loss of critical high-spatial-frequency information in the imaging process.…”
Section: Introductionmentioning
confidence: 99%