Extreme Ultraviolet (EUV) Lithography VII 2016
DOI: 10.1117/12.2219433
|View full text |Cite
|
Sign up to set email alerts
|

Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically Amplified Resist (PSCAR) with flood exposure

Abstract: This paper proposes a promising approach to break the resolution (R), line-edge-roughness (LER), and sensitivity (S) trade-off (RLS trade-off) relationships that limit the ultimate lithographic performance of standard chemically amplified resists (CAR). This is accomplished in a process that uses a Photosensitized Chemically Amplified Resist TM (PSCAR TM) ** in combination with a flood-exposure in an in-line track connected to a pattern exposure tool. PSCAR is a modified CAR which contains a photosensitizer pr… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
11
0

Year Published

2016
2016
2023
2023

Publication Types

Select...
5
1

Relationship

3
3

Authors

Journals

citations
Cited by 13 publications
(11 citation statements)
references
References 20 publications
(30 reference statements)
0
11
0
Order By: Relevance
“…Based on the obtained results using an EB, [14][15][16] EUV pattern exposure with an UV flood exposure was carried out. [17][18][19][20] The reaction mechanisms and patterning of PSCAR TM were studied using an EB. 21,22 In this paper, we compare the EB and EUV exposures focusing on the resist sensitivity (i.e., deposition energy).…”
Section: -5mentioning
confidence: 99%
“…Based on the obtained results using an EB, [14][15][16] EUV pattern exposure with an UV flood exposure was carried out. [17][18][19][20] The reaction mechanisms and patterning of PSCAR TM were studied using an EB. 21,22 In this paper, we compare the EB and EUV exposures focusing on the resist sensitivity (i.e., deposition energy).…”
Section: -5mentioning
confidence: 99%
“…PSCAR uses a flood exposure subsequent to an EUV pattern exposure to sensitize the decomposition of a photo acid generator (PAG). The preliminary results have been discussed in previous papers [13][14][15][16][17] .…”
Section: Introductionmentioning
confidence: 94%
“…In this section, we will review the basic PSCAR concept 13,14,16,17 as background information for PSCAR 2.0 shown in the following section. PSCAR photosensitizes acid generation in order to obtain higher sensitivities and enhanced contrast.…”
Section: Pscar: Photosensitization Of Acid Generationmentioning
confidence: 99%
See 1 more Smart Citation
“…Several methods to increase the quantum efficiency have been investigated. The increase in the reduction potential of an acid generator [11], acid amplification [12], photosensitized chemically amplified resist (PSCAR TM ) [13,14], and acid generation promoter [15] are candidates to enhance the (apparent) quantum efficiency. The photon shot noise is a significant concern in the sensitivity enhancement through the increase of the quantum efficiency.…”
Section: Introductionmentioning
confidence: 99%