Technical Digest. IEEE International MEMS 99 Conference. Twelfth IEEE International Conference on Micro Electro Mechanical Syst 1999
DOI: 10.1109/memsys.1999.746812
|View full text |Cite
|
Sign up to set email alerts
|

Bosch deep silicon etching: improving uniformity and etch rate for advanced MEMS applications

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
72
0

Year Published

2003
2003
2021
2021

Publication Types

Select...
6
2
2

Relationship

0
10

Authors

Journals

citations
Cited by 127 publications
(74 citation statements)
references
References 10 publications
0
72
0
Order By: Relevance
“…During the fabrication of the devices, a Teflon-like film is deposited on the sidewalls [10]. This polymer, used as a passivation layer and deposited during plasma etching, is not removed at the end and is a very likely cause of the 12-mV drift observed over the first 10 days.…”
Section: A Surface Chargingmentioning
confidence: 99%
“…During the fabrication of the devices, a Teflon-like film is deposited on the sidewalls [10]. This polymer, used as a passivation layer and deposited during plasma etching, is not removed at the end and is a very likely cause of the 12-mV drift observed over the first 10 days.…”
Section: A Surface Chargingmentioning
confidence: 99%
“…After the BOE, inductive coupled plasmas (ICP) dry etching is used to etch 230 µm deep channels and valves in the silicon. Here, the standard Bosch process is applied [40,41], which makes high aspect ratio silicon structures with vertical sidewalls possible [42]. Finally, the low pressure SF 6 plasma generated by a radio-frequency (RF) source is used to clean the C 4 F 8 deposition layer on the substrate and the walls of the channel and the valve.…”
Section: Design Of the Systemmentioning
confidence: 99%
“…Deep reactive ion etching (DRIE), addressed some of the limitations of wet etching, although the process is more expensive. Two main processes are cryogenic (Craciun 2001) and Bosch processes (Laemer 1999). The cryogenic process works at about -100 o C and uses oxygen to passivation of the sidewall during etching to maintain vertical etching.…”
Section: Bulk Micromachiningmentioning
confidence: 99%