Proceedings of the 36th Annual ACM/IEEE Design Automation Conference 1999
DOI: 10.1145/309847.310085
|View full text |Cite
|
Sign up to set email alerts
|

Behavioral synthesis techniques for intellectual property protection

Abstract: We introduce dynamic watermarking techniques for protecting the value of intellectual property of CAD and compilation tools and reusable design components. The essence of the new approach is the addition of a set of design and timing constraints which encodes the author's signature. The constraints are selected in such a way that they result in a minimal hardware overhead while embedding a unique signature that is difficult to remove and forge. Techniques are applicable in conjunction with an arbitrary behavio… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
26
0

Year Published

1999
1999
2021
2021

Publication Types

Select...
4
3
2

Relationship

1
8

Authors

Journals

citations
Cited by 31 publications
(26 citation statements)
references
References 42 publications
0
26
0
Order By: Relevance
“…It encodes an author's signature into an optimization or synthesis problem, by limiting the overall solutions space to a certain area reflecting the given signature. Approaches include only allowing a certain number of inputs to a gate [14], modification of register ordering by graph coloring [7], imposing timing constraints on nets to achieve a distinct signature in [8], and only allowing a set of standard cells the design is mapped onto [10].…”
Section: Related Workmentioning
confidence: 99%
“…It encodes an author's signature into an optimization or synthesis problem, by limiting the overall solutions space to a certain area reflecting the given signature. Approaches include only allowing a certain number of inputs to a gate [14], modification of register ordering by graph coloring [7], imposing timing constraints on nets to achieve a distinct signature in [8], and only allowing a set of standard cells the design is mapped onto [10].…”
Section: Related Workmentioning
confidence: 99%
“…The essence of their approach is to introduce watermark-related additional constraints into the input of a black-box design tool such that the design will be rather unique and the embedded watermark can be revealed as proof of authorship. This approach is generic and has been applied to various stages of the VLSI design process, from behavioral and logic synthesis to standard cell place and route algorithms, to FPGA designs [7,8,9,10,11,14].…”
Section: Vlsi Design Ip Protectionsmentioning
confidence: 99%
“…The protection is achieved by tracing unauthorized reuse and by making it as difficult as re-designing the IP from scratch. This approach has been applied to various aspects of the VLSI design process, from behavioral and logic synthesis to standard cell place and route algorithms, to FPGA designs [2,4,5,7,10,11]. There are also several studies on IP fingerprinting techniques [1,7,12] and methods to recover the embedded signatures [3,6,13].…”
Section: Related Workmentioning
confidence: 99%