2019
DOI: 10.1116/1.5049361
|View full text |Cite
|
Sign up to set email alerts
|

Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: A bottom up approach for the simplification of 3D integration scheme

Abstract: A selective deposition process for bottom-up approach was developed in a modified plasma enhanced atomic layer deposition (PEALD) sequence. As a case study, a very standard PEALD TiO 2 using organo-amine precursor and O 2 plasma is chosen. The metal oxide selectivity is obtained on TiN versus Si-based surfaces by adding one etching/passivation plasma step of fluorine every n cycles in a PEALD-TiO 2 process. Fluorine gas NF 3 allows (1) to etch the TiO 2 layer on Si, SiO 2 , or SiN surface while keeping few nan… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
29
0

Year Published

2020
2020
2024
2024

Publication Types

Select...
7
1

Relationship

1
7

Authors

Journals

citations
Cited by 32 publications
(29 citation statements)
references
References 47 publications
0
29
0
Order By: Relevance
“…In a previous PEALD study, a typical cycle was used to deposit TiO 2 thin films, while Al-doped TiO 2 thin films were deposited in a supercycle, which was made up of a number of cycles of Ti precursor followed by one cycle of Al precursor [ 68 ]. Recently, a supercycle process was used to selectively deposit TiO 2 on defined regions over substrates by intercalation of plasma etching cycles in PEALD [ 69 ]. In this approach, three steps were performed as is presented in Figure 3 .…”
Section: Atmospheric Pressure Plasma-enhanced Deposition Methodsmentioning
confidence: 99%
See 1 more Smart Citation
“…In a previous PEALD study, a typical cycle was used to deposit TiO 2 thin films, while Al-doped TiO 2 thin films were deposited in a supercycle, which was made up of a number of cycles of Ti precursor followed by one cycle of Al precursor [ 68 ]. Recently, a supercycle process was used to selectively deposit TiO 2 on defined regions over substrates by intercalation of plasma etching cycles in PEALD [ 69 ]. In this approach, three steps were performed as is presented in Figure 3 .…”
Section: Atmospheric Pressure Plasma-enhanced Deposition Methodsmentioning
confidence: 99%
“…Schematic of an atomic layer selective deposition process as an alternative method for three-dimensional patterning. The supercycle consists of three steps, in which (1) nucleation times on two substrates (A and B) differ due to different surface chemistries on each surface; (2) a precise and selective plasma etching process is used to remove undesired growth on substrate B; and (3) chemical passivation is used to prevent material growth on substrate B. Reprinted with permission from[69]. Copyright (2019), American Vacuum Society.…”
mentioning
confidence: 99%
“…Finally, one can also want different properties for a unique material (resistivity, transparency), or varying chemical and/or structural composition (crystallinity, density, roughness, doping level) as a function of a surface or a space direction. Selective deposition by ALD can be obtained using: inherent selectivity of the process [66][67][68][69][70] , surface activation 71 , surface deactivation [72][73][74][75][76][77][78][79][80] , and super-cycles ABC type 81 or with alternate deposition and etching steps 58,[82][83][84][85] . As in PECVD and PEALD processes, ions from the plasma can be used in any of these steps and therefore help to promote a selective deposition.…”
Section: Selective Deposition Process: Ions Versus Radicalsmentioning
confidence: 99%
“…One last approach for selective deposition is to exploit ions from the plasma for an in situ etching step during the PEALD process, so-called ASD by deposition/etching or supercycles (see some examples here 58,[82][83][84][85] ). The sidewall deposition illustrated in Figure 16 can be easily obtained without modifying the nature of the deposited film if an anisotropic etching cycle is added to the PEALD cycles, as shown Figure 19.…”
Section: Ions For the Deposition/etch Approachmentioning
confidence: 99%
“…17 To obtain a sufficiently high selectivity, it is necessary to employ methods that involve an intermittent correction or cleaning step to remove material from the non-growth area during the deposition process. 10,[18][19][20][21] Interestingly, the use of "cleaning steps" has already been reported several decades ago for area-selective epitaxy of Si. 22,23 By adding an etchant gas such as HCl to the Si source gas, unwanted Si nuclei on SiO 2 or Si 3 N 4 are removed, resulting in area-selective CVD of Si on Si growth areas.…”
Section: Introductionmentioning
confidence: 99%