2016
DOI: 10.1186/s40064-016-2074-z
|View full text |Cite
|
Sign up to set email alerts
|

Area/latency optimized early output asynchronous full adders and relative-timed ripple carry adders

Abstract: This article presents two area/latency optimized gate level asynchronous full adder designs which correspond to early output logic. The proposed full adders are constructed using the delay-insensitive dual-rail code and adhere to the four-phase return-to-zero handshaking. For an asynchronous ripple carry adder (RCA) constructed using the proposed early output full adders, the relative-timing assumption becomes necessary and the inherent advantages of the relative-timed RCA are: (1) computation with valid input… Show more

Help me understand this report
View preprint versions

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
42
0

Year Published

2018
2018
2023
2023

Publication Types

Select...
4
3

Relationship

2
5

Authors

Journals

citations
Cited by 19 publications
(42 citation statements)
references
References 41 publications
0
42
0
Order By: Relevance
“…A ripple carry adder [18,19] is a logic circuit which is constructed in a cascaded structure using a series of full adder blocks as shown in Fig. 7.…”
Section: -Bit Ripple Carry Addermentioning
confidence: 99%
“…A ripple carry adder [18,19] is a logic circuit which is constructed in a cascaded structure using a series of full adder blocks as shown in Fig. 7.…”
Section: -Bit Ripple Carry Addermentioning
confidence: 99%
“…A completion detector is used along with the logic to enforce the strong indication property. To explain this method, the SOP expressions of (1) and (2) are transformed into DSOP expressions, as given by (43) and (44). Equations (43) and (44) are used to synthesize the three-input majority voter which is shown in Figure 9 that corresponds to RTZ handshaking.…”
Section: Qdi Majority Voter Based On [37]mentioning
confidence: 99%
“…To explain this method, the SOP expressions of (1) and (2) are transformed into DSOP expressions, as given by (43) and (44). Equations (43) and (44) are used to synthesize the three-input majority voter which is shown in Figure 9 that corresponds to RTZ handshaking. In Figure 9, the internal dual rail output (IV1,IV0) is logically equivalent to the dual rail voter output (V1,V0).…”
Section: Qdi Majority Voter Based On [37]mentioning
confidence: 99%
“…Among the strong-and weak-indication circuits, the latter are preferable for practical implementation [19], and this is because of the strict timing restrictions inherent in the former. In general, for implementing arithmetic functions, the weakindication type is preferable to the strong-indication type [20][21][22] and this is due to the following reasons: i) strong-indication arithmetic circuits tend to experience worst-case forward and reverse latencies for the application of data and spacer, and therefore the cycle time of strong-indication arithmetic circuits is always the maximum, ii) weak-indication arithmetic circuits may encounter data-dependent forward and reverse latencies or just a data-dependent forward latency and a constant reverse latency, and thus the cycle time of weak-indication arithmetic circuits is generally reduced compared to the strong-indication arithmetic circuits. However, for the weakly indicating asynchronous implementations of the array multiplier considered here, it is noted that their forward and reverse latencies would not be data-dependent or a constant; rather they correspond to the worst-case timing and so the cycle time also corresponds to the worst-case.…”
Section: B Indicating Asynchronous Circuit Typesmentioning
confidence: 99%
“…3, corresponding to RTZ or RTO handshaking. However, the constructions of early output quasi-delayinsensitive asynchronous array multipliers using early output full adders of say, [22] and [42] may not be straightforward. This is due to the likelihood of the problem of gate orphans.…”
Section: Conclusion and Scope For Further Workmentioning
confidence: 99%