2014
DOI: 10.1145/2678373.2665728
|View full text |Cite
|
Sign up to set email alerts
|

Architecture implications of pads as a scarce resource

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
22
0

Year Published

2015
2015
2020
2020

Publication Types

Select...
4
1
1

Relationship

1
5

Authors

Journals

citations
Cited by 17 publications
(22 citation statements)
references
References 33 publications
0
22
0
Order By: Relevance
“…In the past, researchers constructed system-level models to examine the supply voltage noise in both 2D ( [6,12]) and 3D ( [5,9]) chips. While prior work has demonstrated that stacking more layers of active silicon using the tradi tional PDN structure will monotonically increase on-chip noise [9], it is still not clear whether, or in which scenarios the V-S scheme provides better power delivery quality (in terms of transient noise) for 3D-ICs.…”
Section: System-level Supply Voltage Noise Modelingmentioning
confidence: 99%
See 1 more Smart Citation
“…In the past, researchers constructed system-level models to examine the supply voltage noise in both 2D ( [6,12]) and 3D ( [5,9]) chips. While prior work has demonstrated that stacking more layers of active silicon using the tradi tional PDN structure will monotonically increase on-chip noise [9], it is still not clear whether, or in which scenarios the V-S scheme provides better power delivery quality (in terms of transient noise) for 3D-ICs.…”
Section: System-level Supply Voltage Noise Modelingmentioning
confidence: 99%
“…We then extend an open-source, system-level PDN model, VoltSpot version 1.0 [6], and integrate it with our regulator model, producing the first platform to enable whole-system, tran sient simulation for many-layer 3D-ICs' V-S PDN. This new version of VoltSpot has been released as version 2.0.…”
Section: Introductionmentioning
confidence: 99%
“…One possible reason is that many past studies have used cores that did not trigger some errors we observed. For example, older and simpler cores like Atom and Penryn have lower issue widths, so studies using them [13,18,42,51,55] avoid read/write port overestimates, one of the major error sources we observed. Penryn cores also do not support SMT, eliminating the duplication of hardware error.…”
Section: Discussion and Guidelinesmentioning
confidence: 97%
“…Significant voltage drops can result in timing violations for logic circuits. To mitigate effects of voltage noise, researchers have proposed various runtime strategies [17,20,26,29,41,55], optimal placement of available C4 pads [51], and more. In this case study, we quantify the amount of error in voltage noise that derives from power error.…”
Section: Voltage Noisementioning
confidence: 99%
See 1 more Smart Citation