Simulation of Semiconductor Processes and Devices 2001 2001
DOI: 10.1007/978-3-7091-6244-6_18
|View full text |Cite
|
Sign up to set email alerts
|

Analysis of Statistical Fluctuations due to Line Edge Roughness in sub-0.1μm MOSFETs

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
16
0

Year Published

2003
2003
2020
2020

Publication Types

Select...
5
3

Relationship

2
6

Authors

Journals

citations
Cited by 30 publications
(16 citation statements)
references
References 2 publications
0
16
0
Order By: Relevance
“…Our own analysis of SEM micrographs of resist lines obtained from EUV [15] and e-beam [17] lithography indicates values of in the range of 20-30 nm. Gaussian and exponential power spectral models are found to perform almost equally well as least square fits to the captured autocorrelation data [11] as shown in Fig. 3.…”
Section: Simulation Approachmentioning
confidence: 78%
See 1 more Smart Citation
“…Our own analysis of SEM micrographs of resist lines obtained from EUV [15] and e-beam [17] lithography indicates values of in the range of 20-30 nm. Gaussian and exponential power spectral models are found to perform almost equally well as least square fits to the captured autocorrelation data [11] as shown in Fig. 3.…”
Section: Simulation Approachmentioning
confidence: 78%
“…Various sources of intrinsic parameter fluctuations have been studied using numerical simulations with a preference given in the past to random discrete dopants in the active region of the transistor [5]- [7], random dopants and grain boundaries in the polysilicon gate [8] and oxide thickness fluctuations [9]. The line edge roughness (LER) caused by tolerances inherent to materials and tools used in the lithography processes is yet another source of intrinsic parameter fluctuations [10], [11] which needs close attention. LER has caused little worry in the past since the critical dimensions of MOSFETs were orders of magnitude larger than the roughness.…”
mentioning
confidence: 99%
“…The line edge roughness (LER) caused by tolerances inherent to materials and tools used in the lithography processes is yet another source of intrinsic parameter fluctuations [95], [96], which needs close attention. LER has caused little worry in the past since the critical dimensions of MOSFETs were orders of magnitude larger than the roughness.…”
Section: Line Edge Roughnessmentioning
confidence: 99%
“…As a natural extension to the statistical 3-D simulations methodology, the simulation of LER in decananometer MOSFETs was approached in a coherent statistical fashion in [96], [106]. The LER in the simulations is specified by rms amplitude and correlation length .…”
Section: Line Edge Roughnessmentioning
confidence: 99%
“…Among them, however, the influence of the side wall roughness, the line edge roughness (LER) or the line width roughness (LWR), to CDs has rarely been included in a theoretical calculation because of the complexity of constructing a reasonable rough surface model. The LER or the LWR can degrade resolution and linewidth accuracy (Yoshimura et al, 1993) and cause fluctuation of transistor performance (Asenov et al, 2003;Croon et al, 2002;Diaz et al, 2001;Ercken et al, 2002;Hamadeh et al, 2006;Kaya et al, 2001;Kim et al, 2004a;Kim et al, 2004b;Linton et al, 1999;Linton et al, 2002;Oldiges et al, 2000;Xiong & Bokor, 2002;Yamaguchi et al, 2003;Yamaguchi et al, 2004). It becomes a critical issue when the CDs for semiconductor devices shrink into few tens nanometers (ITRS, 2007;Gwyn et al, 2003) because the roughness on the edge of the line does not scale with the linewidth (Asenov et al, 2003).…”
Section: Simulation Of Cd-sem Images For Critical Dimension Nanometromentioning
confidence: 99%