Proceedings of the 2005 ACM/SIGDA 13th International Symposium on Field-Programmable Gate Arrays 2005
DOI: 10.1145/1046192.1046207
|View full text |Cite
|
Sign up to set email alerts
|

An FPGA-based VLIW processor with custom hardware execution

Abstract: The capability and heterogeneity of new FPGA (Field Programmable Gate Array) devices continues to increase with each new line of devices. Efficiently programming these devices is increasing in difficulty. However, FPGAs continue to be utilized for algorithms traditionally targeted to embedded DSP microprocessors such as signal and image processing applications.This paper presents an architecture that combines VLIW (Very Large Instruction Word) processing with the capability to introduce application specific cu… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
52
0

Year Published

2007
2007
2013
2013

Publication Types

Select...
5
1
1

Relationship

0
7

Authors

Journals

citations
Cited by 69 publications
(52 citation statements)
references
References 20 publications
(19 reference statements)
0
52
0
Order By: Relevance
“…Simple replication provides the 1W/2R register file required to support a three-operand ISA [1,5,6,10,15]. Jones et al [7] implement a VLIW soft processor with a multi-ported register file implemented entirely in logic elements, limiting the operating frequency. Saghir et al [12,13] also implement a multi-ported register file for a VLIW soft-processor, but use replication and banking of BRAMs; however, their compiler must schedule register accesses to avoid conflicting reads and writes.…”
Section: Related Workmentioning
confidence: 99%
“…Simple replication provides the 1W/2R register file required to support a three-operand ISA [1,5,6,10,15]. Jones et al [7] implement a VLIW soft processor with a multi-ported register file implemented entirely in logic elements, limiting the operating frequency. Saghir et al [12,13] also implement a multi-ported register file for a VLIW soft-processor, but use replication and banking of BRAMs; however, their compiler must schedule register accesses to avoid conflicting reads and writes.…”
Section: Related Workmentioning
confidence: 99%
“…Each functional unit consists of a 256x8-bit memory, an 8-bit ALU and a control logic. The Garp [23], the Chimaera [28], the MorphoSys [24], and the SuperCISC [20] architectures combine a reconfigurable computing device with a processor in order to do hardware acceleration. RaPiD (Reconfigurable Pipelined Datapath) [7,15], mainly intended for computation-intensive applications, consists of a linear array of application-specific functional units.…”
Section: Background and Literature Reviewmentioning
confidence: 99%
“…In [13,14], the authors describe a soft VLIW processor consisting of four, identical, 32-bit ALUs and a customizable hardware block for accelerating performancecritical loop kernels. The ALUs and the hardware block operate in parallel and are interconnected through a single, multi-ported, 32 × 32-bit register file.…”
Section: Related Workmentioning
confidence: 99%