2005
DOI: 10.5194/ars-3-325-2005
|View full text |Cite
|
Sign up to set email alerts
|

An Adiabatic Architecture for Linear Signal Processing

Abstract: Abstract. Using adiabatic CMOS logic instead of the more traditional static CMOS logic can lower the power consumption of a hardware design. However, the characteristic differences between adiabatic and static logic, such as a fourphase clock, have a far reaching influence on the design itself. These influences are investigated in this paper by adapting a systolic array of CORDIC devices to be implemented adiabatically.We present a means to describe adiabatic logic in VHDL and use it to define the systolic arr… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2006
2006
2021
2021

Publication Types

Select...
2
2

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(3 citation statements)
references
References 5 publications
(4 reference statements)
0
3
0
Order By: Relevance
“…To the author's best knowledge and the literature review carried out, the first modelling in VHDL of adiabatic logic was done by M. Vollmer and J. Gotze in 2005. The authors described the adiabatic logic in VHDL for a systolic array with precise timing and bit-true calculation [6]. The work presented in the paper included the description of the logic blocks that requires 4-phase clocking scheme but, however, they used one global clock net in place of the 4-phase power-clock for cascade logic designs.…”
Section: Motivationmentioning
confidence: 99%
“…To the author's best knowledge and the literature review carried out, the first modelling in VHDL of adiabatic logic was done by M. Vollmer and J. Gotze in 2005. The authors described the adiabatic logic in VHDL for a systolic array with precise timing and bit-true calculation [6]. The work presented in the paper included the description of the logic blocks that requires 4-phase clocking scheme but, however, they used one global clock net in place of the 4-phase power-clock for cascade logic designs.…”
Section: Motivationmentioning
confidence: 99%
“…To the best knowledge of the authors and the literature review undertaken, the first HDL modelling of adiabatic logic was done by M. Vollmer and J. Gotze in 2005 [6]. They described a CORDIC systolic array with precise timing using VHDL but did not model the dual-rail encoding of input and output signals and used only one global power-clock.…”
Section: Introductionmentioning
confidence: 99%
“…Based on the literature review, the first modelling in VHDL of adiabatic logic was done by M. Vollmer and J. Gotze in 2005. They described the adiabatic logic in VHDL for a systolic array with precise timing and bit-true calculation [5]. Their work included the description of logic blocks that required 4-phase clocking scheme but did not model the dualrail encoding and use one global clock net instead of 4-phase power-clock for cascade designs.…”
Section: Introductionmentioning
confidence: 99%