Optical Microlithography XXXIV 2021
DOI: 10.1117/12.2588374
|View full text |Cite
|
Sign up to set email alerts
|

A study on various curvilinear data representations and their impact on mask manufacturing flow

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
6
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
2
2

Relationship

1
3

Authors

Journals

citations
Cited by 4 publications
(6 citation statements)
references
References 0 publications
0
6
0
Order By: Relevance
“…Such considerations are valid from geometric point of view. For example, a few groups have demonstrated some file size reduction using spline representation for the post-OPC masks [2][3][4][5], despite that the post-processing of the post-OPC masks may introduce additional wafer errors. However, from OPC point of view, OPC may need to generate post-OPC results with the file size as small as possible without sacrificing lithographic performance in the first place.…”
Section: Curvilinear Data Representationsmentioning
confidence: 99%
See 1 more Smart Citation
“…Such considerations are valid from geometric point of view. For example, a few groups have demonstrated some file size reduction using spline representation for the post-OPC masks [2][3][4][5], despite that the post-processing of the post-OPC masks may introduce additional wafer errors. However, from OPC point of view, OPC may need to generate post-OPC results with the file size as small as possible without sacrificing lithographic performance in the first place.…”
Section: Curvilinear Data Representationsmentioning
confidence: 99%
“…The CWG has focused on defining a new MULTIGON record as a proposed extension based on the SEMI P39 OASIS standard, capable of describing curvilinear geometric figures using a series of connected spline curves, as an alternative to the conventional piecewise-linear POLYGON record. Though some file size reduction by replacing piecewise-linear figures with spline-based figures has been reported by some MDP researchers using mask pattern post-processing [2][3][4][5][6], they are lacking studies from OPC perspectives to evaluate the existence of the benefit of MULTIGON format.…”
Section: Introduction 11 Backgroundmentioning
confidence: 99%
“…Some of this work was previously presented at SPIE Advanced Lithography 2021 and published in the proceedings of this conference. 21,22…”
Section: Acknowledgmentsmentioning
confidence: 99%
“…The CWG has focused on defining a new MULTIGON record as a proposed extension based on the SEMI P39 OASIS standard, capable of describing curvilinear geometric figures using a series of connected spline curves, as an alternative to the conventional piecewise-linear POLYGON record. Though some file size reduction by replacing piecewise-linear figures with spline-based figures has been reported by some MDP researchers using mask pattern postprocessing, 2 6 they are lacking studies from OPC perspectives to evaluate the existence of the benefit of MULTIGON format.…”
Section: Introductionmentioning
confidence: 99%
“…Such considerations are valid from a geometric point of view. For example, a few groups have demonstrated some file size reduction using spline representation for the postOPC masks, 2 5 despite the fact that the postprocessing of the postOPC masks may introduce additional wafer errors. However, from an OPC point of view, OPC may need to generate postOPC results with the file size as small as possible without sacrificing lithographic performance in the first place.…”
Section: Introductionmentioning
confidence: 99%