2018 IEEE 29th International Conference on Application-Specific Systems, Architectures and Processors (ASAP) 2018
DOI: 10.1109/asap.2018.8445106
|View full text |Cite
|
Sign up to set email alerts
|

A Scalable FPGA Design for Cloud N-Body Simulation

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
12
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
3
2
1

Relationship

2
4

Authors

Journals

citations
Cited by 12 publications
(13 citation statements)
references
References 15 publications
0
12
0
Order By: Relevance
“…CAOS, after profiling and analyzing the application in the frontend, properly identified the force computation as the target function to accelerate and matched it to the Master/Slave architectural template. As we can see from Table 8.1, the CAOS implementation targeting an Amazon F1 instance greatly outperforms, both in terms of performance and energy efficiency, the software implementation from [5] running in parallel on 40 threads on an Intel Xeon E5-2680 v2 and the implementation from [4] on a Xilinx VC707 board. Nevertheless, the bespoke implementation from [5] targeting the same hardware provides 11% higher performance than the CAOS one.…”
Section: Resultsmentioning
confidence: 98%
See 3 more Smart Citations
“…CAOS, after profiling and analyzing the application in the frontend, properly identified the force computation as the target function to accelerate and matched it to the Master/Slave architectural template. As we can see from Table 8.1, the CAOS implementation targeting an Amazon F1 instance greatly outperforms, both in terms of performance and energy efficiency, the software implementation from [5] running in parallel on 40 threads on an Intel Xeon E5-2680 v2 and the implementation from [4] on a Xilinx VC707 board. Nevertheless, the bespoke implementation from [5] targeting the same hardware provides 11% higher performance than the CAOS one.…”
Section: Resultsmentioning
confidence: 98%
“…As we can see from Table 8.1, the CAOS implementation targeting an Amazon F1 instance greatly outperforms, both in terms of performance and energy efficiency, the software implementation from [5] running in parallel on 40 threads on an Intel Xeon E5-2680 v2 and the implementation from [4] on a Xilinx VC707 board. Nevertheless, the bespoke implementation from [5] targeting the same hardware provides 11% higher performance than the CAOS one. However, the CAOS design was achieved semi-automatically in approximately a day of work, while the design from [5] required several weeks of manual effort.…”
Section: Resultsmentioning
confidence: 98%
See 2 more Smart Citations
“…We illustrate the usage of our CAD tool optimizing the N-body physics simulation algorithm. We demonstrate how, starting from a open-source software code [16], the user quickly obtains a design having performance comparable to a state-of-the-art bespoke implementation [15]. In this example, we target the Xilinx Virtex UltraScale+ VU9P board on Amazon Web Service (AWS) with a design frequency set to 125MHz.…”
Section: N-body Simulation Test Casementioning
confidence: 99%