2019
DOI: 10.1007/978-3-030-32094-2_8
|View full text |Cite
|
Sign up to set email alerts
|

CAOS: CAD as an Adaptive Open-Platform Service for High Performance Reconfigurable Systems

Abstract: The increasing demand for computing power in fields such as genomics, image processing and machine learning is pushing towards hardware specialization and heterogeneous systems in order to keep up with the required performance level at sustainable power consumption. Among the available solutions, Field Programmable Gate Arrays (FPGAs), thanks to their advancements, currently represent a very promising candidate, offering a compelling trade-off between efficiency and flexibility. Despite the potential benefits … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
2
1

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
references
References 19 publications
0
0
0
Order By: Relevance