2010 International Symposium on Computer, Communication, Control and Automation (3CA) 2010
DOI: 10.1109/3ca.2010.5533773
|View full text |Cite
|
Sign up to set email alerts
|

A post-processing approach to minimize TSV number for high-level synthesis of 3D ICs

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2011
2011
2021
2021

Publication Types

Select...
3
1

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(3 citation statements)
references
References 8 publications
0
3
0
Order By: Relevance
“…We modified the object function and constraints from the previous work of Lee et al [6]. Some of the constraints including (1),(2),(3),(4),(5),(6) are the same with that of [3][6], and others were designed by us for the purpose of simultaneous resource duplication, resource binding and layer assignment.…”
Section: B Ilp Formulations For Tsv Number Minimizationmentioning
confidence: 99%
See 2 more Smart Citations
“…We modified the object function and constraints from the previous work of Lee et al [6]. Some of the constraints including (1),(2),(3),(4),(5),(6) are the same with that of [3][6], and others were designed by us for the purpose of simultaneous resource duplication, resource binding and layer assignment.…”
Section: B Ilp Formulations For Tsv Number Minimizationmentioning
confidence: 99%
“…Krishnan and Katkoori [5] proposed a framework to integrate the resource binding and floorplaning problems together in the 3D ICs structure. Lee et al [6][7] also proposed an integer linear programming model for high-level synthesis of 3D ICs, and guarantee to get the optimal solution for the number of TSVs under the resources and footprint area constrains.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation