2023
DOI: 10.3390/nano13030504
|View full text |Cite
|
Sign up to set email alerts
|

A Novel Si Nanosheet Channel Release Process for the Fabrication of Gate-All-Around Transistors and Its Mechanism Investigation

Abstract: The effect of the source/drain compressive stress on the mechanical stability of stacked Si nanosheets (NS) during the process of channel release has been investigated. The stress of the nanosheets in the stacking direction increased first and then decreased during the process of channel release by technology computer-aided design (TCAD) simulation. The finite element simulation showed that the stress caused serious deformation of the nanosheets, which was also confirmed by the experiment. This study proposed … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
7
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
3
1

Relationship

1
3

Authors

Journals

citations
Cited by 4 publications
(7 citation statements)
references
References 30 publications
0
7
0
Order By: Relevance
“…Furthermore, the FBFET structures are compatible with CMOS top-down fabrication techniques. The nano-scale silicon channels of the FBFETs can be achieved by stacking Si/SiGe multilayers [24]. The selective removal of the sacrificial SiGe layers enables the devices to be formed as a vertical nanosheet gateall-around structure.…”
Section: Resultsmentioning
confidence: 99%
“…Furthermore, the FBFET structures are compatible with CMOS top-down fabrication techniques. The nano-scale silicon channels of the FBFETs can be achieved by stacking Si/SiGe multilayers [24]. The selective removal of the sacrificial SiGe layers enables the devices to be formed as a vertical nanosheet gateall-around structure.…”
Section: Resultsmentioning
confidence: 99%
“…The cross section of the Si/SiGe stacked multilayer was analyzed by a high-resolution transmission electron microscope (HRTEM). The process flow and the test pattern for the channel release used in this work have already been described elsewhere [ 18 ]. Figure 3 shows a schematic diagram of cavity etch using the direct etching process and the cyclic etching process.…”
Section: Methodsmentioning
confidence: 99%
“…However, this process has a “top-down” effect, which is unacceptable for the inner spacer module. In our previous work [ 18 ], an NF 3 -based remote plasma dry etching process was proposed, which achieved the channel release of nanosheets with multiple widths from 30 nm to 80 nm with little Si loss.…”
Section: Introductionmentioning
confidence: 99%
“…The formation of inner spacer requires lateral etching of SiGe about 5 nm, which demands for extreme etching process control and high precision [4].The uniform and rectangular SiGe contour is desired to protect drain and source during channel release. Channel release requires high-selectivity etching, and since the Si channel is formed after etching, it is necessary to reduce etching damage of the Si surface to ensure device electrical performance [5]. Nowadays, there is no unified solution for the above processes, and reports on comparisons between different solutions are scarce.…”
Section: Introductionmentioning
confidence: 99%