2000
DOI: 10.1109/43.851993
|View full text |Cite
|
Sign up to set email alerts
|

A new class of convex functions for delay modeling and its application to the transistor sizing problem [CMOS gates]

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
56
0
1

Year Published

2005
2005
2015
2015

Publication Types

Select...
3
2
2

Relationship

0
7

Authors

Journals

citations
Cited by 66 publications
(57 citation statements)
references
References 8 publications
0
56
0
1
Order By: Relevance
“…If solution is satisfying the problem is solved, otherwise the decision maker will ask for another aspiration level [7][8].…”
Section: Satisficing Trade-off Methods (Stom)mentioning
confidence: 99%
See 1 more Smart Citation
“…If solution is satisfying the problem is solved, otherwise the decision maker will ask for another aspiration level [7][8].…”
Section: Satisficing Trade-off Methods (Stom)mentioning
confidence: 99%
“…We used posynomial functions for modeling circuit the power and delay values. These functions are convex when the variables have positive value [7]. Again the model is obtained by interpolation of the sampling points, and finding the best fitting coefficients.…”
Section: Definition (1): a Functionmentioning
confidence: 99%
“…Since then many digital circuit design problems have been formulated as GPs or related problems. Work on gate and device sizing (the main topics of this paper) can be found in, e.g., Chu and Wong (2001b), Passy (1998), Cong and He (1999), Kasamsetty et al (2000), Matson and Glasser (1986), Pattanaik et al (2003), Shyu et al (1988), Sancheti and Sapatnekar (1996), Sapatnekar and Chuang (2000), and Sapatnekar et al (1993). These are all based on gate delay models that are compatible with geometric programming; see Kasamsetty et al (2000), Sakurai (1988), Sutherland et al (1999), Rubenstein et al (1983), and Abou-Seido et al (2004) for more on such models.…”
Section: Sizing Optimization Via Geometric Programmingmentioning
confidence: 99%
“…Work on gate and device sizing (the main topics of this paper) can be found in, e.g., Chu and Wong (2001b), Passy (1998), Cong and He (1999), Kasamsetty et al (2000), Matson and Glasser (1986), Pattanaik et al (2003), Shyu et al (1988), Sancheti and Sapatnekar (1996), Sapatnekar and Chuang (2000), and Sapatnekar et al (1993). These are all based on gate delay models that are compatible with geometric programming; see Kasamsetty et al (2000), Sakurai (1988), Sutherland et al (1999), Rubenstein et al (1983), and Abou-Seido et al (2004) for more on such models. Work on interconnect sizing (also addressed in this paper) includes Alpert et al (2001b), , Cong and Koh (1994), , Cong and Leung (1995), Cong and Pan (2002), Chen et al (2004), , Gao and Wong (1999), Kay and Pileggi (1998), Lee et al (2002), Lin and Pileggi (2001), and Sapatnekar (1996); simultaneous gate and wire sizing is considered in and Jiang et al (2000).…”
Section: Sizing Optimization Via Geometric Programmingmentioning
confidence: 99%
See 1 more Smart Citation