Proceedings of the International Symposium on Low Power Electronics and Design 2018
DOI: 10.1145/3218603.3218645
|View full text |Cite
|
Sign up to set email alerts
|

A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1

Citation Types

0
2
0

Year Published

2019
2019
2022
2022

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 13 publications
(2 citation statements)
references
References 16 publications
0
2
0
Order By: Relevance
“…Aga et al extend this work in their iSC architecture by noring the two BLs, resulting in a xor operation [5]. This architecture forms the basis of many iSC publications [6], [9], [24], [25], [26], [27].…”
Section: Wordlines (Wl)mentioning
confidence: 99%
See 1 more Smart Citation
“…Aga et al extend this work in their iSC architecture by noring the two BLs, resulting in a xor operation [5]. This architecture forms the basis of many iSC publications [6], [9], [24], [25], [26], [27].…”
Section: Wordlines (Wl)mentioning
confidence: 99%
“…A third method is to use pulse width modulated WLs such that no two WLs are active simultaneously [28], removing the danger of data corruption, but at the cost of a 2.35x increase in periphery area. Finally, nonconventional technologies can be used, such as monolithic 3D integration [25], [26], or deeply depleted channel technology [36]. Emerging technologies present their own challenges however; for example, DDC technology demonstrates stability issues [37] and disturb risks, and results in poor performance/voltage scaling (100Mhz@0.6V.…”
Section: Avoid Data Corruption While Maintaining High Operating Frequmentioning
confidence: 99%