Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture 2009
DOI: 10.1145/1669112.1669136
|View full text |Cite
|
Sign up to set email alerts
|

A microarchitecture-based framework for pre- and post-silicon power delivery analysis

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
10
0

Year Published

2011
2011
2022
2022

Publication Types

Select...
5
2

Relationship

0
7

Authors

Journals

citations
Cited by 26 publications
(10 citation statements)
references
References 11 publications
0
10
0
Order By: Relevance
“…Specialized micro-benchmarks known as di/dt stressmarks are used to generate worst-case workload-induced voltage droops [7][8] [9] [10]. We use stressmarks as described in [10] to determine the voltage guardband necessary during normal operation and when FP throttling is enabled.…”
Section: A Di/dt Stressmarksmentioning
confidence: 99%
See 2 more Smart Citations
“…Specialized micro-benchmarks known as di/dt stressmarks are used to generate worst-case workload-induced voltage droops [7][8] [9] [10]. We use stressmarks as described in [10] to determine the voltage guardband necessary during normal operation and when FP throttling is enabled.…”
Section: A Di/dt Stressmarksmentioning
confidence: 99%
“…These droops may be singleevent or resonating droops, as illustrated in Figure 1. High di/dt stress occurs when a region of high-power instructions is followed by a region of low-power instructions [5] [7][8] [9] [10]; if these instruction sequences repeat periodically, it may cause resonating droops. In general, resonant droops are more likely to induce failures than singleevent droops because they are larger and repeat periodically.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…Ketkar and Chiprout proposed a di/dt stressmark generation methodology using integer linear program (ILP) scheduling [7]. They identified di/dt stressmark generation as an instruction scheduling and resource allocation problem.…”
Section: Related Workmentioning
confidence: 99%
“…Smith et al [4] developed a method to systematically characterize the PDN noise. Ketkar et al [14] studied micro-architecture based framework for PDN analysis. Chiprout [15] discussed pre-silicon stimulus and post-silicon activity generation to excite the worst-case voltage drop.…”
Section: Introductionmentioning
confidence: 99%