Proceedings of the International Conference on Computer-Aided Design 2012
DOI: 10.1145/2429384.2429450
|View full text |Cite
|
Sign up to set email alerts
|

A holistic analysis of circuit timing variations in 3D-ICs with thermal and TSV-induced stress considerations

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
10
0

Year Published

2015
2015
2022
2022

Publication Types

Select...
4
3

Relationship

1
6

Authors

Journals

citations
Cited by 15 publications
(10 citation statements)
references
References 12 publications
0
10
0
Order By: Relevance
“…3.1.1.3 Thermal stress: The mismatch between the Coefficient of Thermal Expansion (CTE) of a TSV fill material and the silicon induces a residual thermal stress in the region surrounding the TSV [29], [30]. The thermal stresses can drive interfacial delamination between the TSV and the Si matrix, damaging for the on-chip wiring structures [31], [32].…”
Section: Tsv Issuesmentioning
confidence: 99%
“…3.1.1.3 Thermal stress: The mismatch between the Coefficient of Thermal Expansion (CTE) of a TSV fill material and the silicon induces a residual thermal stress in the region surrounding the TSV [29], [30]. The thermal stresses can drive interfacial delamination between the TSV and the Si matrix, damaging for the on-chip wiring structures [31], [32].…”
Section: Tsv Issuesmentioning
confidence: 99%
“…In [5], [12], some layout guidelines have been proposed to optimize the stress-induced delay variation with regular TSV distribution topologies. The basic idea is to relocate the gates on the critical and near critical paths in mobility enhanced zone.…”
Section: Motivationmentioning
confidence: 99%
“…Xik Ij = IJ + llh-+j,k . Xik (12) Here If, I? +N2 and IJ are the original current sources connected to the nodes i, i + N 2 and j, respectively.…”
Section: Power and Stress Profiles Redistributionmentioning
confidence: 99%
See 1 more Smart Citation
“…Furthermore, we show that TSV-induced stress is biaxial in nature. Prior work in [5] uses a uniaxial model for TSV-stress, which incurs significant errors in mobility computations [10].…”
Section: Introductionmentioning
confidence: 99%