Proceedings of the 25th Edition on Great Lakes Symposium on VLSI 2015
DOI: 10.1145/2742060.2742077
|View full text |Cite
|
Sign up to set email alerts
|

A High-Speed Robust NVM-TCAM Design Using Body Bias Feedback

Abstract: As manufacture process scales down rapidly, the design of ternary content-addressable memory (TCAM) requiring high storage density, fast access speed and low power consumption becomes very challenging. In recent years, many novel TCAM designs have been inspired by the research on emerging nonvolatile memory technologies, such as magnetic tunneling junction (MTJ), phase change memory (PCM), and memristor. These designs store a data as the resistive variable of a nonvolatile device, which usually results in limi… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2015
2015
2022
2022

Publication Types

Select...
3
2

Relationship

0
5

Authors

Journals

citations
Cited by 9 publications
references
References 14 publications
(25 reference statements)
0
0
0
Order By: Relevance