2016 17th International Symposium on Quality Electronic Design (ISQED) 2016
DOI: 10.1109/isqed.2016.7479183
|View full text |Cite
|
Sign up to set email alerts
|

ReMAM: Low energy Resistive Multi-stage Associative Memory for energy efficient computing

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
12
0

Year Published

2017
2017
2024
2024

Publication Types

Select...
4
2
2

Relationship

3
5

Authors

Journals

citations
Cited by 33 publications
(12 citation statements)
references
References 20 publications
0
12
0
Order By: Relevance
“…Approximate memory based accelerators store common inputs and output pairs to implement computational reuse. The associative memory searches for the nearest distance value in the table to return as the result for the given inputs [18,21,22,31]. This method is effective at saving power in applications with many identical or similar computations.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…Approximate memory based accelerators store common inputs and output pairs to implement computational reuse. The associative memory searches for the nearest distance value in the table to return as the result for the given inputs [18,21,22,31]. This method is effective at saving power in applications with many identical or similar computations.…”
Section: Related Workmentioning
confidence: 99%
“…Many of these applications involve a large number of redundant computations which can be exploited to save energy by using lookup tables composed of associative memory [18][19][20][21]. Commonly computed values are stored in memory rather than recomputing the same result repeatedly.…”
mentioning
confidence: 99%
“…Hanyu, et al in [21] introduced 5T-4MTJ TCAM cell which searches input data on cell complementary with very high sense margin. However, the energy consumption of NVM-based TCAMs is still high because of high number of charge and discharge cycles for each search operation [14], [6]. Work in [24] showed that using large temporal memory for computation reuse is not efficient in CMOS, hence they combined both temporal and spatial reuse to get a high hit rate.…”
Section: Related Workmentioning
confidence: 99%
“…This energy limits the application of TCAMs to classification [11] and IP look-up [12]. Voltage overscaling (VOS) has been used on CMOS-based TCAMs to reduce the energy consumption [13], [14]. However, this increases the system error-rate due to process variations and timing errors.…”
Section: Introductionmentioning
confidence: 99%
“…In computing, the goal of this approach is to store common inputs and their corresponding outputs. This style of associative memory can retrieve the closest output for given inputs in order to reduce power consumption [19,20]. This approach does not work well in applications without a large number of redundant calculations.…”
Section: Related Workmentioning
confidence: 99%