Proceedings of the 26th ACM International Conference on Architectural Support for Programming Languages and Operating Systems 2021
DOI: 10.1145/3445814.3446752
|View full text |Cite
|
Sign up to set email alerts
|

A hierarchical neural model of data prefetching

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
15
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
5
3

Relationship

0
8

Authors

Journals

citations
Cited by 46 publications
(15 citation statements)
references
References 60 publications
0
15
0
Order By: Relevance
“…Our work takes a different ML-based approach looking at memory disaggregation design at the platform-level and is generally orthogonal to these prior works. ML for systems: ML is increasingly applied to tackle systems problems, such as cloud efficiency [55,61], memory/storage optimizations [124,125], microservices [126], caching/prefetching policies [127,128]. We uniquely apply ML methods for frigid memory prediction to support pooled memory provisioning to VMs without jeopardizing QoS.…”
Section: Related Workmentioning
confidence: 99%
“…Our work takes a different ML-based approach looking at memory disaggregation design at the platform-level and is generally orthogonal to these prior works. ML for systems: ML is increasingly applied to tackle systems problems, such as cloud efficiency [55,61], memory/storage optimizations [124,125], microservices [126], caching/prefetching policies [127,128]. We uniquely apply ML methods for frigid memory prediction to support pooled memory provisioning to VMs without jeopardizing QoS.…”
Section: Related Workmentioning
confidence: 99%
“…Ease of implementation. Prior works have evaluated many sophisticated machine learning models like simple neural networks [104], LSTMs [61,113], and Graph Neural Networks (GNNs) [115] as models for hardware prefetching. Even though these techniques show encouraging results in accurately predicting memory accesses, they fall short especially in two major aspects.…”
Section: Why Is Rl a Good Fit For Prefetching?mentioning
confidence: 99%
“…Even though these techniques show encouraging results in accurately predicting memory accesses, they fall short especially in two major aspects. First, these models' sizes often exceed even the largest caches in traditional processors [61,104,113,115], making them impractical (or at best very difficult) to implement. Second, due to the vast amount of computation they require for inference, these models' inference latency is much higher than an acceptable latency of a prefetcher at any cache level.…”
Section: Why Is Rl a Good Fit For Prefetching?mentioning
confidence: 99%
See 1 more Smart Citation
“…memory access history window) and the LSTM model size strongly affect the prefetcher learning ability under different noise levels or workload patterns. To accommodate the large memory space, Shi et al [202] introduce a neural hierarchical sequence model to decouple predictions of pages and offsets by using two separate attention-based LSTM layers, whereas its hardware implementation is impractical for actual processors.…”
Section: Memory System Designmentioning
confidence: 99%