2017
DOI: 10.1016/j.vlsi.2016.06.008
|View full text |Cite
|
Sign up to set email alerts
|

A hardened network-on-chip design using runtime hardware Trojan mitigation methods

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

1
30
0

Year Published

2017
2017
2021
2021

Publication Types

Select...
5
2
2

Relationship

2
7

Authors

Journals

citations
Cited by 40 publications
(34 citation statements)
references
References 19 publications
1
30
0
Order By: Relevance
“…3D NoC eliminates the need for long global interconnects and reduces the voltage droop and power consumption on long wires. A rogue 2D NoC leads to information leaking and bandwidth depletion [36]. If NoC-based 3D ICs have a synergic Trojan placed in some IP cores or 3D switches, that Trojan leads to a similar consequence, as shown in Fig.…”
Section: Example Analysismentioning
confidence: 95%
“…3D NoC eliminates the need for long global interconnects and reduces the voltage droop and power consumption on long wires. A rogue 2D NoC leads to information leaking and bandwidth depletion [36]. If NoC-based 3D ICs have a synergic Trojan placed in some IP cores or 3D switches, that Trojan leads to a similar consequence, as shown in Fig.…”
Section: Example Analysismentioning
confidence: 95%
“…DoS attacks on a many-core chip can target different components of the chip, including the memory system [15], and the network-on-chip (NoC) [16], [17]. With the help of hardware Trojans (HTs) [3], [4], [13], DoS attacks can be classified as 1) flooding attack [18], [19], where a large volume of useless packets floods a victim node and saturates it; 2) packet drop attack, where some packets are dropped or directed to some malicious nodes so that the victim node can never receive a single packet designated to it [20]; 3) privilege escalation attack [21], where an average user process is granted the privileges of a supervisor so that it can steal passwords; and 4) routing loop attack [2], where packets that pass the malicious node will be routed back to the source node, effectively blocking the source core from communicating with any other cores.…”
Section: B Dos Attackmentioning
confidence: 99%
“…Hereafter, we refer to this method as address filtering at the router. The work [40] proposed a novel router design to detect and mitigate HTs at the NoC router level (detection and mitigation at the router). The zoom-in view of Figure 11 depicts the high-level view of the HT countermeasures (HTC) applied in the south input port and the east output port.…”
Section: Localizing Hardware Trojan Detection and Mitigationmentioning
confidence: 99%
“…High-level view of the runtime router hardening method against Trojan injection in NoCs[40]. HTC, HT countermeasure.…”
mentioning
confidence: 99%