2012
DOI: 10.1145/2377677.2377704
|View full text |Cite
|
Sign up to set email alerts
|

A frequency adjustment architecture for energy efficient router

Abstract: With the rapid expansion of customer population and link bandwidth, energy expenditures of the Internet have been rising dramatically. To gain energy efficiency, we propose a novel router architecture, which allows each of its modules to adjust frequency according to traffic loads. Several modulation strategies are also discussed to ensure dwell time on low energy states and reduce blind switches. Our preliminary results show that the frequency adjustment router could save up to 40% of the total energy consump… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
6
0

Year Published

2013
2013
2019
2019

Publication Types

Select...
4
2

Relationship

2
4

Authors

Journals

citations
Cited by 7 publications
(6 citation statements)
references
References 3 publications
0
6
0
Order By: Relevance
“…In this section, we compare the performance of the proposed approach with the existing static approach [6] [7] which makes the EAS selection decision based on the observed average traffic load of previous time-slot. The traffic load is quantized into six levels according to the packet processing capacity of the frequency scaled router under different EASs, and the traffic state can be R 1 (if the average packet arrival rate in one time-slot, r p (t), is zero), R 2 (if r p (t) < 6784000pps), R 3 (when 6784000pps ≤ r p (t) < 8106667pps), R 4 (when 8106667pps ≤ r p (t) < 10176000pps), R 5 (when 10176000pps ≤ r p (t) < 13589333pps), R 6 (if r p (t) ≥ The x-axis shows the packet arrival rate in (×10 6 pps), whereas the y-axis shows the power consumption in Watts.…”
Section: Simulation Results and Discussionmentioning
confidence: 99%
“…In this section, we compare the performance of the proposed approach with the existing static approach [6] [7] which makes the EAS selection decision based on the observed average traffic load of previous time-slot. The traffic load is quantized into six levels according to the packet processing capacity of the frequency scaled router under different EASs, and the traffic state can be R 1 (if the average packet arrival rate in one time-slot, r p (t), is zero), R 2 (if r p (t) < 6784000pps), R 3 (when 6784000pps ≤ r p (t) < 8106667pps), R 4 (when 8106667pps ≤ r p (t) < 10176000pps), R 5 (when 10176000pps ≤ r p (t) < 13589333pps), R 6 (if r p (t) ≥ The x-axis shows the packet arrival rate in (×10 6 pps), whereas the y-axis shows the power consumption in Watts.…”
Section: Simulation Results and Discussionmentioning
confidence: 99%
“…In the real system, referring to our prototype implementation [4] [5], the frequency switching needs only 160 ns. For 10 Gbps real traffic, there are only one to three packets arrived within 1 µs on average.…”
Section: Design Space For Energy Efficient Routersmentioning
confidence: 99%
“…Our previous work [4] [5] [6] implemented a proof-of-concept prototype, an energy efficient reference router with frequency scaling, on NetFPGA platform [7], showing the feasibility and stability of the design. This prototype can adapt its frequency dynamically on five stages, from 125MHz to 42MHz.…”
Section: Introductionmentioning
confidence: 99%
“…Fu et al proposed the method for reducing power consumption of router by controlling clock frequency of router's components [5]. However, in general, frequency adjustment in LSIs is complicated, and delay in the control is not negligible.…”
Section: Related Workmentioning
confidence: 99%