2007 Design, Automation &Amp; Test in Europe Conference &Amp; Exhibition 2007
DOI: 10.1109/date.2007.364453
|View full text |Cite
|
Sign up to set email alerts
|

A Compositional Approach to the Combination of Combinational and Sequential Equivalence Checking of Circuits Without Known Reset States

Abstract: Abstract

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
14
0

Year Published

2007
2007
2013
2013

Publication Types

Select...
3
2

Relationship

1
4

Authors

Journals

citations
Cited by 5 publications
(14 citation statements)
references
References 12 publications
0
14
0
Order By: Relevance
“…The beauty of the weak alignability approach is that the compositionality works with combinational equivalence checking and the verification can be performed on an abstraction/refinement scheme. However, one problem in the weak alignability is that the compositionality cannot be efficiently applied to designs that are retimed globally [12]. This is because the equivalence on retimed sub-blocks is broken while the design equivalence is preserved.…”
Section: Introductionmentioning
confidence: 94%
See 3 more Smart Citations
“…The beauty of the weak alignability approach is that the compositionality works with combinational equivalence checking and the verification can be performed on an abstraction/refinement scheme. However, one problem in the weak alignability is that the compositionality cannot be efficiently applied to designs that are retimed globally [12]. This is because the equivalence on retimed sub-blocks is broken while the design equivalence is preserved.…”
Section: Introductionmentioning
confidence: 94%
“…that often have different numbers of registers. Even though there have been many publications on sequential equivalence checking as in [13,5,20,18,19], the industry has started to use sequential equivalence checking only recently with practical approaches handling fairly large designs [7,8,1,12]. Baumgartner et al proposed sequential equivalence checking through a series of various transformations with a given reset state.…”
Section: Introductionmentioning
confidence: 98%
See 2 more Smart Citations
“…Baumgartner et al [5] discuss an approach for invariant generation to address the conditional equivalence checking problem for optimizations including clock gating and power gating. Moon et al [18] propose equivalence checking techniques that exploit well-partitioned circuit structures.…”
Section: Related Workmentioning
confidence: 99%