2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA) 2013
DOI: 10.1109/hpca.2013.6522355
|View full text |Cite
|
Sign up to set email alerts
|

A case for Refresh Pausing in DRAM memory systems

Abstract: DRAM cells rely on periodic refresh operations to maintain data integrity. As the capacity of DRAM memories has increased, so has the amount of time consumed in doing refresh. Refresh operations contend with read operations, which increases read latency and reduces system performance. We show that eliminating latency penalty due to refresh can improve average performance by 7.2%. However, simply doing intelligent scheduling of refresh operations is ineffective at obtaining significant performance improvement.T… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

3
42
0

Year Published

2014
2014
2022
2022

Publication Types

Select...
5
1
1

Relationship

1
6

Authors

Journals

citations
Cited by 72 publications
(46 citation statements)
references
References 11 publications
3
42
0
Order By: Relevance
“…Prior works have looked at how to reduce the performance impact due to memory refresh [8,13,25,32,35,39,40,41,42,43]. Some of them have explored intelligent refresh scheduling to block fewer pending read requests [13,39,40]; however, they provide limited effectiveness.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…Prior works have looked at how to reduce the performance impact due to memory refresh [8,13,25,32,35,39,40,41,42,43]. Some of them have explored intelligent refresh scheduling to block fewer pending read requests [13,39,40]; however, they provide limited effectiveness.…”
Section: Introductionmentioning
confidence: 99%
“…Prior works have looked at how to reduce the performance impact due to memory refresh [8,13,25,32,35,39,40,41,42,43]. Some of them have explored intelligent refresh scheduling to block fewer pending read requests [13,39,40]; however, they provide limited effectiveness. As refresh latency increases, many later works have explored how to more aggressively address memory refresh performance overheads by skipping many required memory refresh operations [8,25,32,41,42] at the cost of reducing memory security and reliability [15,21,24,27,31]; however, this is inadequate for systems that do not wish to sacrifice security and reliability for performance.…”
Section: Introductionmentioning
confidence: 99%
“…By default, DRAM devices are refreshed with 8K REF within 64ms, and tRFC is 208 DRAM cycles, which translates into a tREFI of 7.8 μs (i.e., 6240 DRAM cycles). As [42], the baseline adopts closed page policy, which is preferred in multicore systems [37]. Table 5 lists the workloads for evaluation.…”
Section: System Configurationmentioning
confidence: 99%
“…We simulated a quad-core system with settings listed in Table 4, similar to those in [42,46]. The DRAM timing constraints follow Micron DDR3 SDRAM data sheet [5].…”
Section: System Configurationmentioning
confidence: 99%
See 1 more Smart Citation