2012
DOI: 10.1155/2012/537286
|View full text |Cite
|
Sign up to set email alerts
|

A Buffer‐Sizing Algorithm for Network‐on‐Chips with Multiple Voltage‐Frequency Islands

Abstract: Buffers in on-chip networks constitute a significant proportion of the power consumption and area of the interconnect, and hence reducing them is an important problem. Application-specific designs have nonuniform network utilization, thereby requiring a buffer-sizing approach that tackles the nonuniformity. Also, congestion effects that occur during network operation need to be captured when sizing the buffers. Many NoCs are designed to operate in multiple voltage/frequency islands, with interisland communicat… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1

Citation Types

0
3
0

Year Published

2013
2013
2018
2018

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 6 publications
(3 citation statements)
references
References 26 publications
0
3
0
Order By: Relevance
“…The latency of a link is the addition of the latency to traverse the Frequency Boosting Mechanism (FBM) in the router and link latency. The latency of the link is defined by the frequency at which the link is operated [36]. Let router_distance denote the distance in mm a signal can traverse in 1 .…”
Section: Latencymentioning
confidence: 99%
See 1 more Smart Citation
“…The latency of a link is the addition of the latency to traverse the Frequency Boosting Mechanism (FBM) in the router and link latency. The latency of the link is defined by the frequency at which the link is operated [36]. Let router_distance denote the distance in mm a signal can traverse in 1 .…”
Section: Latencymentioning
confidence: 99%
“…The bandwidth of a link is given by the product of the link width and frequency of operation of the link [36];…”
Section: Bandwidthmentioning
confidence: 99%
“…To enhance the performance of various applications in NoCs by efficiently redistributing the buffer spaces, it is crucial to accurately model congestion and hotspot regions in different applications. Kumar et al [48] proposed a buffer-sizing algorithm for application-specific NoCs with multiple voltage-frequency islands. Here, the buffers are increased iteratively based on the NoC's behaviour under simulation.…”
Section: Design Automation In Heterogeneous 3d Nocsmentioning
confidence: 99%