2021 IEEE Custom Integrated Circuits Conference (CICC) 2021
DOI: 10.1109/cicc51472.2021.9431559
|View full text |Cite
|
Sign up to set email alerts
|

A 64-Bit Arm CPU at Cryogenic temperatures: Design Technology Co-Optimization for Power and Performance

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
3
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
5
3

Relationship

2
6

Authors

Journals

citations
Cited by 12 publications
(6 citation statements)
references
References 0 publications
0
3
0
Order By: Relevance
“…12(a). One of the key take-away from [2] was that the internal power of the system increases by 77% going from 300K to 100K. This can be clearly explained from the BSIM4 models where we notice that ISC increases going from 300K to 6K due to higher ION with ~20% increase in the peak value for input slew of 20ps for a 1x inverter driving a nominal 1fF load.…”
Section: B Inverter Transient Characteristicsmentioning
confidence: 81%
See 1 more Smart Citation
“…12(a). One of the key take-away from [2] was that the internal power of the system increases by 77% going from 300K to 100K. This can be clearly explained from the BSIM4 models where we notice that ISC increases going from 300K to 6K due to higher ION with ~20% increase in the peak value for input slew of 20ps for a 1x inverter driving a nominal 1fF load.…”
Section: B Inverter Transient Characteristicsmentioning
confidence: 81%
“…With remarkable improvement in the device behavior including ultra-low leakage current, higher ON current, nearideal subthreshold swing, lower thermal noise and lower device and interconnect resistance [22], Cryo-CMOS has shown promising results for achieving higher performance and/or obtaining better power efficiency [2], [15]. Design requires well calibrated transistor models for low temperature operation, that can be used for circuit level simulations.…”
Section: Introductionmentioning
confidence: 99%
“…Sanuki et al [55] and Aiba et al [2] developed 3D flash prototype for cryogenic computing and showed the potential of higher endurance, capacity, and speed-up. Saligram et al [54] showed the characteristics of processors for various cryogenic temperatures and voltages. However, no previous works proposed the novel pipeline and NoC architecture to exploit the fast cryogenic wires.…”
Section: Related Workmentioning
confidence: 99%
“…Further, the power, delay and reliability of 5nm FinFET SRAM circuits has been studied at deep cryogenic temperatures [6]. A recent theoretical study demonstrated 4× improvement in performance-per-watt at the processor level (Arm Cortex-A53) at cryogenic temperatures [7]. The improvement in performance per watt becomes even better at the system level, where recent study demonstrated 12× at the CPU level and 16× at the system level [8], through circuit and architecture co-optimization.…”
Section: Introductionmentioning
confidence: 99%
“…The improvement in performance per watt becomes even better at the system level, where recent study demonstrated 12× at the CPU level and 16× at the system level [8], through circuit and architecture co-optimization. Furthermore, thermal conductance of silicon increases under cryogenic temperatures, improving the heat dissipation and reducing self-heating effects toward higher integration density [4], [7], [9]. On the other hand, due to the steeper sub-threshold characteristics at cryo-temperatures, the CMOS device becomes highly sensitive to bias voltages and process variations [10].…”
Section: Introductionmentioning
confidence: 99%