Proceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems 2022
DOI: 10.1145/3503222.3507749
|View full text |Cite
|
Sign up to set email alerts
|

CryoWire: wire-driven microarchitecture designs for cryogenic computing

Abstract: Cryogenic computing, which runs a computer device at an extremely low temperature, is promising thanks to its significant reduction of wire resistance as well as leakage current. Recent studies on cryogenic computing have focused on various architectural units including the main memory, cache, and CPU core running at 77K. However, little research has been conducted to fully exploit the fast cryogenic wires, even though the slow wires are becoming more serious performance bottleneck in modern processors. In thi… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
6
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
3
2
1

Relationship

1
5

Authors

Journals

citations
Cited by 6 publications
(7 citation statements)
references
References 60 publications
0
6
0
Order By: Relevance
“…Cryogenic SRAM are also utilized HP computing systems. Apart from the high-performance core, HP computing systems primarily consist of buffers and SRAM-based caches [8], [9], [25]. These caches are designed at multiple levels to fulfill the timing and area constraints of the HP computing systems.…”
Section: B Sram For Cryogenic Cmos Circuitsmentioning
confidence: 99%
See 3 more Smart Citations
“…Cryogenic SRAM are also utilized HP computing systems. Apart from the high-performance core, HP computing systems primarily consist of buffers and SRAM-based caches [8], [9], [25]. These caches are designed at multiple levels to fulfill the timing and area constraints of the HP computing systems.…”
Section: B Sram For Cryogenic Cmos Circuitsmentioning
confidence: 99%
“…Cryogenic computing promises a significant improvement in the performance and power consumption for quantum computing, exascale and high-performance computing, and deep space electronics (Fig. 1) [1]- [3], [7], [8], [10]- [13]. A lower I leak in CMOS transistors and smaller wire resistance at cryogenic temperatures can help to further upscale the clock frequency of the computers under a constant power budget.…”
Section: Introductionmentioning
confidence: 99%
See 2 more Smart Citations
“…SFQ and cryogenic CMOS modeling. Lee et al [41], Byun et al [7], and Min et al [50,51] developed a 77K cryogenic CMOS modeling tool and performed design space exploration for various computer devices including DRAM, cache, and processor. Zokaee et al [73] proposed a 4K CMOS modeling tool for the DRAM.…”
Section: Related Workmentioning
confidence: 99%