2019
DOI: 10.1109/tcsi.2019.2936226
|View full text |Cite
|
Sign up to set email alerts
|

A 40-Gb/s PAM-4 Transmitter Using a 0.16-pJ/bit SST-CML-Hybrid (SCH) Output Driver and a Hybrid-Path 3-Tap FFE Scheme in 28-nm CMOS

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
3
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
4
2
1

Relationship

0
7

Authors

Journals

citations
Cited by 8 publications
(3 citation statements)
references
References 25 publications
0
3
0
Order By: Relevance
“…Recent works have demonstrated that source-series terminated (SST) PAM-4 drivers exhibit good linearity due to their voltage operation mode which does not involve current mismatch [21,22,23]. Unfortunately, the output impedance matching and tunable adjustment for the feed-forward equalizer (FFE) result in heavy self-drain loads, requesting an advanced process to support a high operation speed [24,25,26]. In contrast, the current mode logic (CML) PAM-4 drivers can fully exploit the process potentials as their compact NMOS driving topology naturally features fast current switching speed and small parasitic capacitance [27].…”
Section: Introductionmentioning
confidence: 99%
“…Recent works have demonstrated that source-series terminated (SST) PAM-4 drivers exhibit good linearity due to their voltage operation mode which does not involve current mismatch [21,22,23]. Unfortunately, the output impedance matching and tunable adjustment for the feed-forward equalizer (FFE) result in heavy self-drain loads, requesting an advanced process to support a high operation speed [24,25,26]. In contrast, the current mode logic (CML) PAM-4 drivers can fully exploit the process potentials as their compact NMOS driving topology naturally features fast current switching speed and small parasitic capacitance [27].…”
Section: Introductionmentioning
confidence: 99%
“…However, the channel bandwidths of such display systems are severely limited due to loss and reflections from long PCB traces and FPC (Flexible Printed Circuit) structures. Therefore, transceivers for the display interfaces should adopt advanced signaling (such as PAM-4 [4][5][6][7]) and equalization (such as FFE [8,9]) techniques to achieve such high data rates in energy-efficient ways. However, the voltage mode FFE driver suffers from high power consumption, and the PAM-4 signaling yields high sensitivity to residual ISIs.…”
mentioning
confidence: 99%
“…4(a) shows the structure of the proposed output driver slice with a shunt switch. Compared with previous PAM-4 transmitters [6,7], the design avoids the use of current-mode branches to fully take advantage of voltage-mode drivers in terms of energy efficiency. The driver is divided into 12 slices, and each slice is composed of four impedance-controlled pull-up/down switches and one shunt switch.…”
mentioning
confidence: 99%