2011
DOI: 10.1007/978-3-642-17545-9_9
|View full text |Cite
|
Sign up to set email alerts
|

9 PeNLogic – System for Concurrent Logic Controllers Design

Abstract: Abstract. In the paper the CAD system dedicated for modeling, verification, and synthesis of concurrent controllers modeled by interpreted Petri net is presented. Petri net model can be prepared as graph or as textual form. Controllers specified by Petri nets can be analyzed and implemented using method suitable for such model. For verification of Petri net another part of system is used. Moreover, the results of verification are decomposition of net into several communicating state machines (as finite state m… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2

Citation Types

0
2
0

Year Published

2012
2012
2013
2013

Publication Types

Select...
2

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
(2 citation statements)
references
References 10 publications
0
2
0
Order By: Relevance
“…It gives easy way for representation of concurrent processes and additionally there could be applied mathematical algorithms for formal analysis and verification of the designed model [11]- [17]. There are also several algorithms of direct synthesis of Petri net model into FPGA devices [18]- [21]. The most typical implementation of Petri nets into FPGA devices use one-hot local state encoding where each single place is represented by a flip-flop [22].…”
Section: Introductionmentioning
confidence: 99%
“…It gives easy way for representation of concurrent processes and additionally there could be applied mathematical algorithms for formal analysis and verification of the designed model [11]- [17]. There are also several algorithms of direct synthesis of Petri net model into FPGA devices [18]- [21]. The most typical implementation of Petri nets into FPGA devices use one-hot local state encoding where each single place is represented by a flip-flop [22].…”
Section: Introductionmentioning
confidence: 99%
“…It gives easy way for representation of concurrent processes and additionally there could be applied mathematical algorithms for formal analysis and verification of the designed model [8]- [11]. There are also several algorithms of direct synthesis of Petri net model into FPGA devices [12]- [15]. The most typical implementation of Petri nets into FPGA devices use one-hot local state encoding where each single place is represented by a flip-flop [16].…”
Section: Introductionmentioning
confidence: 99%