Proceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip 2017
DOI: 10.1145/3130218.3130219
|View full text |Cite
|
Sign up to set email alerts
|

3D NoC-Enabled Heterogeneous Manycore Architectures for Accelerating CNN Training

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
7
0

Year Published

2019
2019
2024
2024

Publication Types

Select...
3
3

Relationship

1
5

Authors

Journals

citations
Cited by 15 publications
(8 citation statements)
references
References 24 publications
0
7
0
Order By: Relevance
“…Hence, designing the 3D NoC for heterogeneous systems is more complicated than homogeneous systems; this aspect has not been explored adequately. On top of this, 3D ICs suffer from thermal issues due to higher power density [8], [19]. One of the common methodologies for reducing the peak temperature in a 3D architecture includes proper core placement to prevent high power consuming cores from being placed on top of each other [19].…”
Section: D Heterogeneous Nocsmentioning
confidence: 99%
See 4 more Smart Citations
“…Hence, designing the 3D NoC for heterogeneous systems is more complicated than homogeneous systems; this aspect has not been explored adequately. On top of this, 3D ICs suffer from thermal issues due to higher power density [8], [19]. One of the common methodologies for reducing the peak temperature in a 3D architecture includes proper core placement to prevent high power consuming cores from being placed on top of each other [19].…”
Section: D Heterogeneous Nocsmentioning
confidence: 99%
“…For a given workload, application-specific NoCs are known to outperform conventional architectures, e.g., mesh NoCs [7]. A MOO formulation for 3D NoCs is presented in [8] for accelerating deep learning workloads. In [22], the authors have explored heterogeneous NoC design for multimedia applications.…”
Section: D Heterogeneous Nocsmentioning
confidence: 99%
See 3 more Smart Citations