2019
DOI: 10.1109/tc.2018.2889053
|View full text |Cite
|
Sign up to set email alerts
|

Learning-Based Application-Agnostic 3D NoC Design for Heterogeneous Manycore Systems

Abstract: The rising use of deep learning and other big-data algorithms has led to an increasing demand for hardware platforms that are computationally powerful, yet energy-efficient. Due to the amount of data parallelism in these algorithms, high-performance threedimensional (3D) manycore platforms that incorporate both CPUs and GPUs present a promising direction. However, as systems use heterogeneity (e.g., a combination of CPUs, GPUs, and accelerators) to improve performance and efficiency, it becomes more pertinent … Show more

Help me understand this report
View preprint versions

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
20
0

Year Published

2021
2021
2023
2023

Publication Types

Select...
5
1
1
1

Relationship

2
6

Authors

Journals

citations
Cited by 54 publications
(20 citation statements)
references
References 40 publications
0
20
0
Order By: Relevance
“…4) Network-On-Chip Design. Communication infrastructure is critical for efficient data movement in hardware chips (Joardar et al, 2018;Deshwal et al, 2019;Choi et al, 2018;Das et al, 2017) and they are designed using cycle-accurate simulators. We consider a dataset of 1024 configurations of a network-on-chip with ten input variables (d=10) (Che, Boyer, Meng, Tarjan, Sheaffer, Lee, & et al, 2009).…”
Section: Real-world Engineering Design Optimization Problemsmentioning
confidence: 99%
“…4) Network-On-Chip Design. Communication infrastructure is critical for efficient data movement in hardware chips (Joardar et al, 2018;Deshwal et al, 2019;Choi et al, 2018;Das et al, 2017) and they are designed using cycle-accurate simulators. We consider a dataset of 1024 configurations of a network-on-chip with ten input variables (d=10) (Che, Boyer, Meng, Tarjan, Sheaffer, Lee, & et al, 2009).…”
Section: Real-world Engineering Design Optimization Problemsmentioning
confidence: 99%
“…As a result, the communication backbone quickly becomes a performance bottleneck. In addition, the multi-hop nature of a planar-mesh NoC leads to higher communication latencies [13], which is not desirable for training GNNs. A 3D architecture can alleviate this problem and be a powerful enabler for the ReGraphX architecture.…”
Section: B Role Of 3d Nocmentioning
confidence: 99%
“…A 3D architecture can alleviate this problem and be a powerful enabler for the ReGraphX architecture. By stacking multiple layers above each other, the physical distance between PE tiles is reduced significantly [13]. In addition, 3D NoCs enable high-performance multicast support [12]; both these characteristics are beneficial for GNN training.…”
Section: B Role Of 3d Nocmentioning
confidence: 99%
See 1 more Smart Citation
“…Moreover, owing to the increasing computing capabilities, the connections between the GPUs and the CPUs are carried out by using off-chip interconnects that provide considerable traffic latency and high-power consumption [13][14][15]. A heterogeneous CMP that integrates CPUs and GPUs on the same chip with the sharing memory can solve this problem, avoid such expensive off-chip data transfers, and lead to improved system performance [16]. Moreover, the interconnection in a single chip should be more efficient and scalable to improve system performance.…”
Section: Heterogeneous Cpu-gpu Systemmentioning
confidence: 99%