In this study, the effects of pad temperature on the chemical mechanical polishing (CMP) of tungsten were investigated. During polishing, the pad temperature was monitored and the removal rate behavior with respect to the pad temperature evolution was explored. The pad temperature was increased during tungsten CMP, and the tungsten removal rate increased accordingly. Further, it was found that the two had a strong correlation. Although tetraethyl orthosilicate (TEOS) polishing experiment showed that the pad temperature increased similarly under various experimental conditions, the TEOS removal rate did not change for all conditions. A novel pad-cooling nozzle effectively reduced the pad temperature during tungsten polishing and influenced the tungsten removal rate drop. Table motor current monitoring revealed that friction forces were almost identical under all experimental conditions for tungsten polishing regardless of the application of pad cooling. On the basis of pad temperature and table motor current data, it was clear that the tungsten removal rate was determined by temperature, indicating that the tungsten removal mechanism was mostly driven by a chemical reaction. Further, the pad cooling function can be used to control the tungsten removal rate effectively, resulting in a stable tungsten CMP process.Chemical mechanical polishing (CMP) is one of the most critical processes for enabling sub-10 nm device manufacturing. The usage of the CMP process has rapidly increased owing to the increasing demands for planarized surfaces to be used in microprocessor manufacturing. Post-etch and deposition processes render a wafer surface uneven and bumpy; only the CMP process results in a globally flat wafer surface by chemical/electrochemical softening and removal of material by the mechanical action of slurry abrasive. In addition to its original role of planarization to meet the depth of focus (DOF) target for lithography, increasing demand for planarized surfaces for use as interconnects from middle end of the line (MEOL) to back end of the line (BEOL), 1 the fabrication of new three-dimensional stacked devices and emerging fin field-effect transistors (FinFET) requires a more challenging CMP process. 2-5 Moreover, recently developed high-k metal gate structures (HKMG) control the gate height by CMP instead of the conventionally used dry etching process to meet the performance demands for future IC devices. 6-9 Thus, different from the traditional planar structure device fabrication, CMP directly touches a gate module, which makes CMP as a valuable alternative to the classical polysilicon gate process. Extremely high levels of uniformity, planarity, and defect controls are required to achieve so-called dummy gate-open CMP and replacement metal gate CMP successfully. 2,10 Furthermore, through-silicon via (TSV) packaging and wafer-bonding technology requires a more stringent CMP process than traditional local/global planarization. 4,5 Moreover, with the introduction of new materials such as III-V and germanium for us...
The surface Cu flake particle generation mechanism during Cu Chemical Mechanical Planarization (CMP) process was studied to propose optimal tribological conditions. Cu flake defects are the main impact on yield and reliability in Logic Devices. Fig.1 shows typical Cu Flake images and their components. The particle components are copper and copper oxide based on EDX analysis with Cu flake sizes ranging from a hundred nm to a few um. Engineering polishing wafers with five kinds of pattern densities and three different line widths were prepared to evaluate defect density changes and elucidate the effects of surface topography and geometry. All polishing experiments were performed on a 300 mm polisher (LKPS, AMAT) with Hitachi Cu Barrier Slurry (HS-915TS). Defect density changes were calculated by two steps: 1) scanned with KLA-Tencor 2915 Bright Field Inspection Tool, 2) reviewed by Applied-Materials SEMVision with 30 nm horizontal resolution. Determining the defect generation mechanism was approached by three different methodologies. Firstly, wafer friction torque was analyzed to estimate the mechanical impact dependency of defect generation during the brushing part of the cleaning process with these different patterns. Usually, friction torque is a well-known method to check the macro-scale impact in the defect investigation process. However, there were no correlation between torque difference and defect density. It is assumed that the wafer level friction sensor could not detect the patterning pitch scale’s impact difference. Secondly, a nano-scale approaching method was utilized to investigate the Cu flake phenomena. Interestingly, the highest defect density showed in the smallest patterned density and in the 72 nm line width by repeatable tests. What it found was that the Cu protrusion amount, as shown in Fig.2, linearly decreases with pattern density, with 3 nm range of difference between the minimum and maximum pattern density. It could be suspected that a higher Cu protrusion profile may be one of the big distributors in making more mechanical impaction in sub 100 nm pitch scales. To verify protrusion volume effects, the slurry selectivity was changed to encourage more protrusion by changing the H2O2 mixing ratio. Experimental results showed that higher H2O2 resulted in a lower defect density. Lastly, the sliding velocity effect was studied with three different conditions: 1.0, 1.2, and 1.35 m/s. Fig.3 clearly showed the density effect of flake generation with each patterning wafer. In particular, a higher pattern density did not show defect density changes during the sliding speed tests, while a lower density pattern had increasing defects with higher sliding speeds. These results can explain the Cu flake generation mechanism by mechanical impact force, e.g. higher velocity speed and higher shear force during wafer cleaning process. We conclude that lower speed and less pattern selectivity is preferable to reduce the Cu flake defect level to overcome various pattern densities in the real production. We will explain in detail the experimental results and mechanism in the presentation. Figure 1
scite is a Brooklyn-based organization that helps researchers better discover and understand research articles through Smart Citations–citations that display the context of the citation and describe whether the article provides supporting or contrasting evidence. scite is used by students and researchers from around the world and is funded in part by the National Science Foundation and the National Institute on Drug Abuse of the National Institutes of Health.
hi@scite.ai
10624 S. Eastern Ave., Ste. A-614
Henderson, NV 89052, USA
Copyright © 2024 scite LLC. All rights reserved.
Made with 💙 for researchers
Part of the Research Solutions Family.