A colloidal silica-based slurry (3-10 wt%) containing H 2 O 2 (1 wt%) and citric acid (50 mM) was found to polish chemical vapordeposited (CVD) cobalt (Co) films with removal rates (RRs) of ∼180-500 nm/min and a dissolution rate (DR) of ∼0 nm/min at pH 8 along with an RMS roughness of ∼0.5 nm and a corrosion current of ∼50 μA/cm 2 . Our results suggest that, in the presence of H 2 O 2 , the Co film surface was covered with a passive film of CoO in acidic conditions and Co 3 O 4 in alkaline conditions. However, in the presence of H 2 O 2 and citric acid in acidic conditions, formation of the soluble complex [Co(C 6 H 5 O 7 ) 2 ] 3− from abraded Co enhanced the RRs significantly. The roles of H 2 O 2 , citric acid, and silica abrasives as well as the pH on the Co material removal process are discussed and a removal mechanism is proposed. Two inhibitors namely, 1, 2, 4-Triazole (TAZ) and Benzotriazole (BTA), were tested in the presence of 50 mM citric acid at pH 8 but were found to be ineffective even at concentrations of 100 mM in reducing the E corr of Co-Ti couple to minimize galvanic corrosion that is essential when the Co/Ti structure is polished after the removal of bulk of Co and requires further study. Sub-10 nm devices face several challenges with copper as an interconnect material for back-end-of-the-line (BEOL) processes during the manufacture of integrated circuits. These include increasing resistivity with decreasing thickness, 1 non-conformal deposition at narrow trench widths ∼20 nm or less, 2 and scaling limitations of the diffusion barrier/liner.3 This led to the investigation of new trench filling materials. Cobalt is a promising alternative to meet the challenges of interconnect lines at these lower nodes for the first two metal layers M1 and M2, due to its lower resistivity at smaller dimensions (∼10 nm) compared to copper. [4][5][6] Kamineni et al. 7 proposed the use of chemical vapor deposited (CVD) cobalt to replace the widely used tungsten for local interconnects for 10 nm and smaller nodes. They emphasized two main advantages of CVD Co metallization which are a) CVD Co precursors do not damage the Ti liner enabling barrier scaling and b) it achieves void free fill in high aspect ratio features without defects, something that is difficult to achieve with a conventional physical vapor deposited (PVD) Co process. 8,9 Hence, CVD-based Co metallization is an attractive option for the technology nodes below 10 nm.CVD-based cobalt has also gained prominence in the advanced copper interconnects below 22 nm as liner [10][11][12][13][14][15][16][17] to improve adhesion between the barrier (TaN, TiN) and Cu seed layer where the Co film thickness is only ∼2 nm. Several authors 18-26 have investigated cobalt polishing for such applications where RR requirements are typically <20 nm/min and Co loss due to corrosion has to be as close to zero as possible, since even a minute loss of Co material can degrade the device reliability significantly. Hence, since the potential gap between Co and Cu is a large ∼0...
This work describes the dissolution and corrosion of chemical vapor deposited (CVD) Co films determined using aqueous mixtures of H2O2, oxalic acid (OA) and nicotinic acid (NA) and the polishing behavior with added silica abrasives, all at pH 10. It was found that an aqueous solution containing 1 wt% H2O2, 40 mM OA and 80 mM NA at pH 10 is effective in minimizing the dissolution and corrosion currents of the Co films as well as the galvanic corrosion of Co and Cu. Addition of 3 wt% silica abrasives to this mixture yielded a desired removal rate of ∼17 nm/min and ∼20 nm/min for Co and Cu films, respectively, at 13.8 kPa (2 psi) polishing pressure, with good rate selectivity. The effect of OA and NA on the dissolution and corrosion behavior of Co is discussed based on contact angles and potentiodynamic polarization data. Finally, Cu/Co/Mn-based film/SiCOH patterned wafers with ∼20 nm half pitch were polished with the 3 wt% silica based dispersion for 70 s at 13.8 kPa (2 psi) applied pressure. Cross-sectional TEM analysis showed excellent post-polish performance with no corrosion issues and no loss of Cu, Co liner and the Mn-based barrier.
Chemical mechanical polishing (CMP) behavior of Cu/Ru/TiN barrier liner stack was investigated with a slurry comprising of silica abrasives, potassium permanganate (KMnO 4 ) , guanidine carbonate (GC) and benzotriazole (BTA) in the alkaline region. The corrosion and polishing behavior of the Cu, Ru and TiN films in the solution consisting of the above additives were characterized by open circuit potential and potentiodynamic measurements, polishing rates, dissolution rates and contact angle measurements. A slurry comprising of 10 mM KMnO 4 , 1 wt% GC and 5 wt% Silica at pH 10 has shown adequate polish rates as well as low individual film corrosion. However, 1 mM BTA was needed to maintain the E CORR of both the Cu/Ru and Ru/TiN couples at <20 mV essential to inhibit any galvanic corrosion while still maintaining low corrosion rates for Cu, Ru and TiN films. The removal rate ratio of Ru:Cu with the optimized slurry was ∼0.8, minimizing the possibility of dishing. As the scaling of trenches and vias continue, making high volume manufacturing of 14 nm devices feasible, deposition of defect free Cu seed layer upon the TaN/Ta barrier liner 1,2 used in earlier generation Cu interconnects has become a challenge. At the reduced trench widths of ∼50 nm or less associated with these devices, it is not possible to deposit a conformal Cu seed layer without voids.3-6 Also, as the TaN/Ta barrier liner is scaled to ∼5 nm or less in thickness, its increased electrical resistance causes the resistance-capacitance delay to increase. Hence, the advantages of replacing it with several other barrier and barrier liner candidate materials and have been investigated by various authors.7-9 These include Ru, Co and Mn and their alloys.Among these, Ru has good barrier properties with a high melting point of 2310• C. 7 Due to its low resistivity (∼7 μ cm) and excellent wettability characteristics with Cu, direct electroplating of Cu is possible eliminating the need for a seed layer.7 However, it was found that atomic layer deposited (ALD) Ru alone is not a good diffusion barrier due to its columnar growth structure that provides diffusion paths for Cu at the grain boundaries 10,11 and poor adherence to underlying dielectric.12 Hence, it was proposed that a thin TaN barrier layer (∼2-3 nm) be first deposited followed by a ∼1-2 nm thick Ru liner, alleviating the need for a Cu seed layer. 13,14 However, the higher resistivity of TaN (∼200 μ cm) is still a concern.Recently, Amanapu et al. 15 showed that Ru films deposited on TiN, another commonly used barrier layer 16,17 with a lower resistivity of ∼130 μ cm, have higher removal rates (RRs) compared to those deposited on TaN due to the difference in the crystalline orientation of the Ru films deposited on these two materials. Hence, a thin Ru liner (∼2 nm) over a thin TiN barrier layer (∼2 nm), both deposited by ALD, has been proposed as a promising barrier liner for future Cu interconnects.In these structures, since Ru is in contact with both TiN and Cu (Figure 1), there is a possibility of g...
Co, a candidate material for barrier and capping layers in 10 nm and smaller Cu interconnects, is prone to corrosion and galvanic corrosion during chemical mechanical planarization (CMP) and wet cleaning in aqueous environments posing a serious challenge to its use since the Co liner in the advanced nodes is desired to be only ∼2 to 3 nm thick. We show that E corr between Cu and Co (2 nm) films can be reduced to <10 mV, with Cu being more noble, in an aqueous solution of 6.6 mM (0.05 wt%) Glycine + 15 mM 1,2,4 Triazole, while maintaining an excellent surface finish making it an excellent cleaning solution for Cu/Co (2 nm) structures. We also show that such thin Co films behave electrochemically very differently from thicker (physical vapor deposited 200 nm and even 20 nm) films, perhaps due to differences in deposition methods, differences in surface interactions as determined by XPS and in grain sizes as revealed by SEM imaging, and that (post-CMP) cleaning solutions available for the thicker films do not work for them.
We show that Ce 3+ ions when used as an additive to ceria dispersions enhance plasma-enhanced chemical-vapor-deposited silicon nitride polish or removal rates (RRs). Ceria slurries (0.1 wt% and 140 nm avg. size) containing 2.3 mM Ce(NO 3 ) 3 and no other additive gave nitride RRs of ∼300 nm/min at 4 psi and ∼350 nm/min at 5 psi, both at pH4. The nitride RRs measured in the presence of Ce(NO 3 ) 3 , Ce(CH 3 COO) 3 and KNO 3 suggest that the rate enhancement is solely due to the presence of Ce 3+ ions. We discuss the underlying mechanism causing high silicon nitride RRs in the presence of Ce 3+ ions based on XPS analysis of pre-and post-polished silicon nitride and oxynitride film surfaces, streaming potential data and high oxynitride RRs obtained using the same ceria particle-based slurries as above. It is suggested that the Ce 3+ ions convert the upper layers of the nitride film into an oxynitride that is polished by the abrasives at a high rate. In this process, the conversion of the nitride film seems to be the rate controlling step with the oxidation and polishing occurring in a repetitive manner. © The Author Semiconductor device scaling led to the shrinking of device structures and also created new challenges in the front end of line integration schemes that required several additional new chemical mechanical planarization (CMP) steps. One such new integration scheme in the replacement metal gate (RMG) process is the self-aligned contact (SAC) module where a silicon nitride CMP step is required as shown in Figure 1 (Redrawn from Ref. 1). Since the composition of this silicon nitride film and those used in our experiments is nonstoichiometric, we will refer to them by the generic symbol SiN. In this SAC module, one of the challenges is the misalignment of the contact metal and source/drain, leading to device failure. In order to provide a wider process margin in contact alignment, a SiN cap is deposited on top of the metal gate.1 In the process of depositing this SiN cap, there will always be some SiN overburden, as shown in Fig. 1, which needs to be removed using a highly selective nitride slurry that has a very low oxide removal rate to minimize loss of the underneath oxide. This removal rate selectivity requirement in this SiN cap CMP process is the reverse of that needed in the more conventional shallow trench isolation (STI) CMP and is often referred to as reverse STI selectivity. 2-8Several authors 2-8 investigated reverse STI selectivity CMP processes using ceria and silica based dispersions with various additives. Recently Bae et al.2 achieved highly selective Si 3 N 4 to SiO 2 removal rate (RR) ratio of ∼ 95.0 at pH 1.5 using modified silica abrasives. They modified the surface charge of the silica abrasives toward more negative values (−50 mV) since creating higher negative charge on silica abrasives enables stronger attractive forces between them Si 3 N 4 films and a stronger repulsive force toward silica films in a low pH environment, which can lead to high nitride to oxide removal rate selectiv...
We show that several amino acids and taurine, an aminosulfonic acid, when used as additives in 1 wt% silica slurries enhance a-SiCN removal rates (RRs) in the pH range 3-9. High RRs of 120 nm min −1 and 160 nm min −1 were obtained with 0.13 M glycine + 1 wt% silica at pH 5 and with 0.13 M taurine + 1 wt% silica at pH 6, respectively, both at an applied pressure of 3 psi. These compositions suppressed silicon nitride and silicon dioxide RRs to < 2 nm min −1 , making them excellent candidates for polishing a-SiCN hard mask layers during semiconductor fabrication. The role of the amino acids and taurine in polishing a-SiCN films was investigated using zeta potential measurements, attenuated total reflection-Fourier transform infrared (ATR-FTIR) spectroscopy and X-ray photoelectron spectroscopy (XPS). The reactivity of the deprotonated carboxyl species of the amino acids with SiCN surface is responsible for the observed rate enhancement while the binding of the protonated amine species of the amino acids with the silicon nitride surface suppresses nitride RRs at pH 5 and higher. The higher reactivity of taurine's sulfonic group is responsible for its higher polish rate. Oxide RR is low due to low silica particle loading of 1 wt%.
scite is a Brooklyn-based organization that helps researchers better discover and understand research articles through Smart Citations–citations that display the context of the citation and describe whether the article provides supporting or contrasting evidence. scite is used by students and researchers from around the world and is funded in part by the National Science Foundation and the National Institute on Drug Abuse of the National Institutes of Health.
hi@scite.ai
10624 S. Eastern Ave., Ste. A-614
Henderson, NV 89052, USA
Copyright © 2024 scite LLC. All rights reserved.
Made with 💙 for researchers
Part of the Research Solutions Family.