Proceedings.International Conference on Parallel Architectures and Compilation Techniques
DOI: 10.1109/pact.2002.1106006
|View full text |Cite
|
Sign up to set email alerts
|

Workload design: selecting representative program-input pairs

Abstract: Having a representative work-load of the target domain of a microprocessor is extremely important throughout its design. The composition of a workload involves two issues: (i) which benchmarks to select and (ii) which input data sets to select per benchmark. Unfortunately, it is impossible to select a huge number of benchmarks and respective input sets due to the large instruction counts per benchmark and due to limitations on the available simulation time. In this paper, we use statistical data analysis techn… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

1
63
0

Publication Types

Select...
3
2
2

Relationship

0
7

Authors

Journals

citations
Cited by 81 publications
(64 citation statements)
references
References 12 publications
(13 reference statements)
1
63
0
Order By: Relevance
“…The most popular benchmark suite for general-purpose computing is SPEC CPU from the Systems Performance Evaluation Consortium [654]. Note that program behavior also depends on input, so representative inputs are also needed [202,204], and indeed, benchmarks specify not only the applications to run but also the reference inputs to use. Benchmarks are discussed further in Section 9.2.4.…”
Section: Instruction MIXmentioning
confidence: 99%
“…The most popular benchmark suite for general-purpose computing is SPEC CPU from the Systems Performance Evaluation Consortium [654]. Note that program behavior also depends on input, so representative inputs are also needed [202,204], and indeed, benchmarks specify not only the applications to run but also the reference inputs to use. Benchmarks are discussed further in Section 9.2.4.…”
Section: Instruction MIXmentioning
confidence: 99%
“…(2). In this dendrogram we can clearly distinguish between two major groups of clips: still and motion videos 1 . This kind of a coarse-grained division into two groups would have been possible to obtain just by viewing the videos on the screen.…”
Section: Empirical Validationmentioning
confidence: 98%
“…Usually these are properties that have a strong impact on the performance of the architecture being designed. For instance, in microarchitectural design such properties would be instruction mix, branch prediction accuracy and cache miss rates [1]. As mentioned in the previous section, our hypothesis is that on the system level the performance of multimedia MpSoC architectures is largely influenced by various kinds of data-dependent variability associated with the processing of multimedia data streams.…”
Section: Workload Characterizationmentioning
confidence: 99%
See 2 more Smart Citations